2014年2月12日

`define marcos usage in system verilog

摘要: Note it is not supported in verilog http://en.wikipedia.org/wiki/SystemVerilogThe preprocessor has improved `define macro-substitution capabilities, specifically substitution within literal-strings (""), as well as concatenation of multiple macro-tokens into a single word.link fromhttps:// 阅读全文

posted @ 2014-02-12 15:40 testset 阅读(1404) 评论(0) 推荐(0) 编辑

2014年1月18日

diary

摘要: matlab commanddiary 阅读全文

posted @ 2014-01-18 03:39 testset 阅读(109) 评论(0) 推荐(0) 编辑

2013年11月28日

how to set interface arrays : Illegal index into array of interfaces

摘要: error information Illegal index into array of interfacesthe reasonlink http://forums.accellera.org/topic/677-ies-102-using-config-db-to-set-array-of-interfaces/https://forum.verificationacademy.com/forum/verification-methodology-discussion-forum/uvm-forum/24462-setting-array-interfaces-uvm-config-da 阅读全文

posted @ 2013-11-28 14:06 testset 阅读(703) 评论(0) 推荐(0) 编辑

2013年11月26日

grep and regular expression

摘要: grep -nR ' ' ./* 阅读全文

posted @ 2013-11-26 14:34 testset 阅读(112) 评论(0) 推荐(0) 编辑

Illegal assignment to type String from class mtiUvm.uvm_pkg::uvm_analysis_port #()

摘要: Illegal assignment to type String from class mtiUvm.uvm_pkg::uvm_analysis_port #it is really trival ap = new(.name("****"), .parent(this)); 阅读全文

posted @ 2013-11-26 13:55 testset 阅读(357) 评论(0) 推荐(0) 编辑

Clocking block output is not legal in this # or another expression.

摘要: Clocking block output is not legal in this # or another expression.modport / clocking block the direction is wrong for monitor 阅读全文

posted @ 2013-11-26 13:38 testset 阅读(683) 评论(0) 推荐(0) 编辑

2013年11月16日

qverilog

摘要: The qverilog command compiles (vlog), optimizes (vopt), and simulates (vsim) Verilog andSystemVerilog designs in a single step. It combines the compile, elaborate, and simulate phasestogether, as some users may be accustomed to doing with NC-Sim. This command is providedto ease these users’ transiti 阅读全文

posted @ 2013-11-16 17:17 testset 阅读(619) 评论(0) 推荐(0) 编辑

error : uvm_component_utils is undefined

摘要: the simple reason is the uvm_macros.svh not included in the top fileand note: there is no need to add +incdir+ to look for the svhbecause the questa will automatically fix the path when import uvm_pkg ** Error: Environment.sv(16): (qverilog-2163) Macro `uvm_component_utils is undefined.** Error: Env 阅读全文

posted @ 2013-11-16 17:16 testset 阅读(1368) 评论(0) 推荐(0) 编辑

2013年7月12日

xorg.conf disappeared in centos6

摘要: vbird reading 阅读全文

posted @ 2013-07-12 10:49 testset 阅读(114) 评论(0) 推荐(0) 编辑

2013年7月11日

verdi issues on license

摘要: verdiunkown host(snpslmd) Vendor daemon can't talk to lmgrd (Cannot connect to license server system./etc/hosts中的的hostname必须和hostname命令输出结果一致。FLEXlm error: -15,570. System Error: 111 "Connection refused"lmgrd -c xxx.lic 阅读全文

posted @ 2013-07-11 17:10 testset 阅读(440) 评论(0) 推荐(0) 编辑

导航