2013年5月24日

priority of uncertainty

摘要: set_clock_latency models board-level clock delays most useful is for I/O constraints,derive_clock_uncertainty calls out individual set_clock_uncertainty for every clock transferderive_clock_uncertainty’s individual calls of set_clock_uncertainty occur when the timing netlist is being updated, which 阅读全文

posted @ 2013-05-24 14:38 testset 阅读(219) 评论(0) 推荐(0) 编辑

priority of setup/hold

摘要: periodsetup/holdapplied toadd4create_clock√√nodesecond assignment will be ignoredCREATE_GENERATED_CLOCK√√nodeignore the new constraint and issue a warningDERIVE_PLL_CLOCKS√√nodeSET_INPUT_DELAY/SET_OUTPUT_DELAY√node(external)there is another external register connected to the portoverride the first t 阅读全文

posted @ 2013-05-24 13:47 testset 阅读(165) 评论(0) 推荐(0) 编辑

priority period

摘要: periodsetup/holduncertaintyapplied toaddcreate_clock√√nodesecond assignment will be ignoredCREATE_GENERATED_CLOCK√√nodeignore the new constraint and issue a warningDERIVE_PLL_CLOCKS√√nodeIf a create_clock or create_generated_clock apply a clock to a node that already has a clock on it from a previou 阅读全文

posted @ 2013-05-24 12:09 testset 阅读(171) 评论(0) 推荐(0) 编辑

the categories of constraints

摘要: period setup/hold uncertainty applied to add create_clock √ √ node second assignment will be ignored ... 阅读全文

posted @ 2013-05-24 10:58 testset 阅读(215) 评论(0) 推荐(0) 编辑

导航