2012年10月24日

(原创)LEON3入门教程(四):基于AMBA APB总线的七段数码管IP核设计

摘要: 这一小节将介绍下如何设计用户自定义的APB IP,并将IP嵌入到SOPC中去。一个APB IP核的主要分为三个部分:逻辑单元、寄存器单元和接口单元。所设计的IP是一个简单的七段数码管显示IP,只有一个寄存器ledindata_reg,实现数码管显示,比较简单实用,可以类比到更多的寄存器设计中。IP设计后,对其进行仿真测试和软件测试,验证其功能。该IP没有中断功能,如果需要添加中断请参考AMBA协议。 阅读全文

posted @ 2012-10-24 10:14 超群天晴 阅读(5351) 评论(5) 推荐(0) 编辑

导航