2020年1月20日

SV--数据类型

摘要: 转载于 https://www.cnblogs.com/-9-8/p/4420523.html Verilog-1995中规定的数据类型有:变量(reg), 线网(wire), 32位有符号数(integer), 64位无符号数(time), 浮点数(real)。 SV扩展了reg类型为logic, 阅读全文

posted @ 2020-01-20 16:00 superany 阅读(2435) 评论(0) 推荐(0) 编辑

SV--coverage

摘要: 1:fcov(assert和功能)、ccov(行、分支、条件、fsm)、pcov covergroup、coverpoint、cross bins、ignore_bins、illegal_bins、binsof default、default_sequence、$、item 6.2 详细 1:pco 阅读全文

posted @ 2020-01-20 15:29 superany 阅读(1692) 评论(0) 推荐(0) 编辑

SV--OOP

摘要: a:类、属性、方法、句柄、对象的概念。申明和例化的概念、例化的传参。 . this 浅复制、深复制。 对象的空间释放:重new或赋值null。 静态属性和方法。 extern、public/local/protected b:虚函数,cast,虚类,参数化类,约束重写。 1: 类是一个模板,其内部定 阅读全文

posted @ 2020-01-20 15:28 superany 阅读(306) 评论(0) 推荐(0) 编辑

SV--过程语句与并发进程

摘要: a: 阻塞语句=,非阻塞语句<=,自加自减++、--,过程连续复制语句assign、deassign、force、deposit、release b: if……else、case、casex、casez、 c: for、foreach、while、do……while、repeat、forever d 阅读全文

posted @ 2020-01-20 15:26 superany 阅读(2442) 评论(0) 推荐(0) 编辑

SV--系统函数

摘要: 以后再总结: SV新增的系统函数 elaborate时的函数: 1)$typeof(data_type), 判断某个data或者expression的类型 1)$size(), 表示array的元素个数 2)$left()/$low(), 表示array的最小元素索引 3)$right()/$hig 阅读全文

posted @ 2020-01-20 15:07 superany 阅读(1288) 评论(0) 推荐(0) 编辑

2020年1月19日

SV--随机

摘要: a:系统函数:$random/$urandom/$urandom_range,$dist_uniform/$dist_normal/$dist_exponetial/$dist_possion/$dist_chi_square/$dist_t/$dist_erlang。注意返回值的位宽、范围。 b: 阅读全文

posted @ 2020-01-19 18:58 superany 阅读(2587) 评论(0) 推荐(0) 编辑

SV--task and function

摘要: function和task的区别,传参种类,ref类型,传参缺省值、传参方向。 a: 函数和任务的区别在于,任务可以带时序,函数不能。 函数和任务的传参可以在名字后的小括号里,也可以在函数和任务体内。传参是默认顺序,也可以使用.in(addr)这种形参方式。 函数和任务的参数缺省值为方向为input 阅读全文

posted @ 2020-01-19 18:57 superany 阅读(1479) 评论(0) 推荐(0) 编辑

导航