2009年10月21日

M=60的计数器

摘要: --具有异步复位、同步置数和使能的递增BCD码计数器--module=60library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cntm60 is port (ci :in std_logic; nrest :in std_logic; load :in std_logic; d :in... 阅读全文

posted @ 2009-10-21 11:35 月朗星稀 阅读(306) 评论(0) 推荐(0) 编辑

笔试题 类中static变量

摘要: using System; namespace Param { class Class1 { static int i = getNum(); int j = getNum(); static int num = 1; static int getNum() { return num; } [STAThread] stat... 阅读全文

posted @ 2009-10-21 08:19 月朗星稀 阅读(1336) 评论(2) 推荐(1) 编辑

(VHDL小程序004)用VHDL设计包、库、函数(将位向量转换为整数的函数)

摘要: 转自 http://www.cnblogs.com/adamite/archive/2008/08/13/1267042.html********包格式如下: package my_pkg is ..................... .....................--数据类型的声明和函数的声明 ..................... end my_pkg; package b... 阅读全文

posted @ 2009-10-21 07:49 月朗星稀 阅读(2241) 评论(0) 推荐(0) 编辑

导航