摘要: FPGA: Duplicated to reduce fanout and improve timingsexample:signal address_0 : std_logic_vector(11 downto 0);signal address_1 : std_logic_vector(11 downto 0);attribute equivalent_register_removal : string;attribute equivalent_register_removal of address_0: signal is "NO";attribute equival 阅读全文
posted @ 2012-11-02 14:11 Sundance-Tim 阅读(211) 评论(0) 推荐(0) 编辑
摘要: How do I keep Xilinx XST from merging nets from my design?I'm asking and answering this question so that I can find it again in the future...How do I keep XST from merging two logically equivalent nets into one (which normally is a good idea to save resources, but may not be a good idea from a t 阅读全文
posted @ 2012-11-02 12:14 Sundance-Tim 阅读(1164) 评论(0) 推荐(0) 编辑