摘要: Record type的定义类似于C/C++中的结构的定义,tpye中可以包含其它已经定义的type.在此贴出一个package文件,library IEEE;use IEEE.STD_LOGIC_1164.all;package chan_pkg isconstant c_WORDS : integer := 2;constant c_32BITS_DATA : std_logic_vector := "01";constant c_64BITS_DATA : std_logic_vector := "11";type X_chan_t is reco 阅读全文
posted @ 2012-08-13 16:13 Sundance-Tim 阅读(1771) 评论(0) 推荐(0) 编辑
摘要: attribute keep of error_channelb: signal is "true";用法就是 keep a signal after mapping; 如果要用chipscope和在ucf文件中直接使用信号名的,可用keep这保持,这样可方便我们添加观察信号和添加约束.Often you want to assign a constraint to a particular signal in your design, or you want be able to find a particular signal in Chipscope inserter 阅读全文
posted @ 2012-08-13 15:34 Sundance-Tim 阅读(4796) 评论(0) 推荐(0) 编辑