摘要: uvm_event是一个uvm_event_base的扩展类,它可以提供额外的服务比如,是指callback,获得waitor的数量; 对于event常用的task 或者function有wait_trigger(), trigger, 对于不同的class之间,是怎么通过event来交流的呢? 以 阅读全文
posted @ 2021-02-04 20:11 Pine1 阅读(862) 评论(0) 推荐(0) 编辑