摘要: 其中使用void'的意义表示不考虑返回值。对于括号中有返回值的函数,加上void'操作符的意思就是告诉仿真器这个函数虽然有返回值,但是我不需要这个返回值。加上这个的唯一好处是可以让仿真器闭嘴:本来函数有返回值,但是你不使用,这时仿真器会抛出警告。加上void'可以关闭警告,让仿真log更干净。 阅读全文
posted @ 2019-09-26 10:39 Pine1 阅读(461) 评论(0) 推荐(0) 编辑