摘要: 在Quartus中自动分配引脚的方法有两种:1.编写tcl文件(1)在Quartus中新建一个Tcl Scripe File,文件内容的格式如下:#setup.tcl#setup pin settingset_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"set_global_assignment -nam... 阅读全文
posted @ 2009-05-18 21:36 ★星★ 阅读(1774) 评论(0) 推荐(0) 编辑