Quartus中自动分配引脚

在Quartus中自动分配引脚的方法有两种:

1.编写tcl文件

(1)在Quartus中新建一个Tcl Scripe File,文件内容的格式如下:

#setup.tcl
#setup pin setting
set_global_assignment  -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
set_global_assignment  -name ENABLE_INIT_DONE_OUTPUT OFF

set_location_assignment  PIN_D13 -to iCLK
set_location_assignment  PIN_G26 -to iRST_N

set_location_assignment  PIN_H3 -to LCD_DATA\[7\]
.......

其中#setup.tcl和#setup pin setting为说明语句,#setup.tcl中的setup为该文件名字,可以更改。

注意:LCD_DATA\[7\]中的\一定要加上

(2)保存

 (3)Project->Add/Remove Files.....->找到该文件,点击Add

(4)Tools->Tcl Scripe .....->选中该文件,点击run

设置完毕。

2.添加.cvs文件

(1)新建一个excel文件,内容格式如下:

To Location
SW[0] PIN_N25
SW[1] PIN_N26
SW[2] PIN_P25
SW[3] PIN_AE14
SW[4] PIN_AF14

保存时将文件类型设为.cvs格式。

注意:该文件中的引脚名一定要和quartus顶层文件的输入输出引脚名一样。

(2)Assignments->Import  Assignments.....->导入该文件,点击OK。

设置完毕。

posted @ 2009-05-18 21:36  ★星★  阅读(1774)  评论(0编辑  收藏  举报