摘要: 1 library IEEE; 2 use IEEE.STD_LOGIC_1164.ALL; 3 use IEEE.MATH_REAL.ALL; 4 5 entity real_demo is 6 end real_demo; 7 8 architecture Behavioral of real_demo is 9 10 --signals declared with the REAL data type.11 --MATH_PI is a constant defined in the math_real package.12 signal X : real := -MATH_PI/... 阅读全文
posted @ 2012-05-13 12:34 IAmAProgrammer 阅读(451) 评论(0) 推荐(0) 编辑
摘要: library IEEE;use IEEE.std_logic_1164.all;use IEEE.NUMERIC_STD.all;library unisim;use unisim.vcomponents.all;entity uart_brg is port ( i_clock : in std_logic; i_prescale : in unsigned (3 downto 0); i_divisor : in unsigned (7 downto 0); i_fraction : in unsigned (3 ... 阅读全文
posted @ 2012-05-13 11:37 IAmAProgrammer 阅读(578) 评论(0) 推荐(0) 编辑