摘要: 1 -- ============================================================================= 2 -- file name is: mux4_1.vhd (mux=multiplexer) 3 -- Author: Kim Petersen 4 -- Created: 00.04.10 last modified: 00.04.13 5 -- ============================================================... 阅读全文
posted @ 2012-05-12 21:36 IAmAProgrammer 阅读(290) 评论(0) 推荐(0) 编辑
摘要: 1 module SRL16E(Q, A0, A1, A2, A3, CLK, D, CE); 2 3 input A0, A1, A2, A3, CLK, D, CE; 4 output Q; 5 6 reg[15:0] shift_reg; 7 reg Q; 8 9 always@(posedge CLK)10 begin11 if (CE)12 shift_reg <= {shift_reg[14:0],D};13 end14 15 always @(A3 or A2 or A1 or A0 or shift_reg)16 begin17 18 case({A3,... 阅读全文
posted @ 2012-05-12 20:33 IAmAProgrammer 阅读(733) 评论(0) 推荐(0) 编辑