How Do Perform STD_LOGIC_VECTOR Addition Using IEEE.NUMERIC_STD?
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
subtype slv is std_logic_vector;
signal dpll_counter : std_logic_vector( 4 downto 0 );
dpll_counter <= dpll_counter + "00001";
dpll_counter <= unsigned(dpll_counter) + 1;
dpll_counter <= std_logic_vector( UNSIGNED(dpll_counter) + 1 );
dpll_counter <= slv( unsigned(dpll_counter) + 1 );
signal count : unsigned(input'range);
count <= unsigned(input);
count <= count + 1;
output <= slv(count);
Or define count as a natural:
count <= unsigned(input);
count <= count + 1;
output <= slv(count);
Or define count as a natural:
signal input, output : std_logic_vector( 4 downto 0);
signal count : natural range 0 to 2**input'length-1;
count <= count + 1; -- much faster rtl simulation
output <= slv(to_unsigned(count, output'length));
count <= to_integer(unsigned(input));
signal count : natural range 0 to 2**input'length-1;
count <= count + 1; -- much faster rtl simulation
output <= slv(to_unsigned(count, output'length));
count <= to_integer(unsigned(input));
signal count : natural range 0 to 2**numbits-1; -- numbits wide count
Thus count - 1 < 0 is still possible, even though count < 0 is not.
This is an easy way to extract the carry condition in a down counter:
if count - 1 < 0 then -- carry bit set
count <= start_value; -- reload
else
count <= count - 1; -- update
end if;
This is an easy way to extract the carry condition in a down counter:
if count - 1 < 0 then -- carry bit set
count <= start_value; -- reload
else
count <= count - 1; -- update
end if;
The synthesizer (XST, Quartus, Synplify-Pro, Precision, even the old
synopsys DCFPGA) will automatically share the decrement between the
comparison and the update, and use the next bit (the sign bit) to
control the reload or update.
The same sort of trick works for overflow in an up counter too.
synopsys DCFPGA) will automatically share the decrement between the
comparison and the update, and use the next bit (the sign bit) to
control the reload or update.
The same sort of trick works for overflow in an up counter too.
So I synthesized a couple versions to see what XST (ISE8.2) does (into
an XC2VP7), checking the results with fpga_editor. I first had to use
fairly large counters or it would not necessarily use the carry chain,
and I wanted to see how that was used.
So the above mentioned:
if count - 1 < 0 then -- carry bit set
count <= start_value; -- reload
else
count <= count - 1; -- update
end if;
That created two parallel sets of LUTs, one with the counter itself, and
one that simply calculates count-1 using a carry chain. It is the carry
output of this second chain that triggers reloading of the counter.
Next:
if count = 0 then -- carry bit set
count <= start_value; -- reload
else
count <= count - 1; -- update
end if;
That created a single chain for the counter, and then in LUTs it
implemented ~count1*~count2*~count3... , with the output of that
triggering the load.
The second method actually uses less logic than the first, but neither
was ideal. I must say, I am not impressed with XST synthesis of
something so basic as a counter :-(
an XC2VP7), checking the results with fpga_editor. I first had to use
fairly large counters or it would not necessarily use the carry chain,
and I wanted to see how that was used.
So the above mentioned:
if count - 1 < 0 then -- carry bit set
count <= start_value; -- reload
else
count <= count - 1; -- update
end if;
That created two parallel sets of LUTs, one with the counter itself, and
one that simply calculates count-1 using a carry chain. It is the carry
output of this second chain that triggers reloading of the counter.
Next:
if count = 0 then -- carry bit set
count <= start_value; -- reload
else
count <= count - 1; -- update
end if;
That created a single chain for the counter, and then in LUTs it
implemented ~count1*~count2*~count3... , with the output of that
triggering the load.
The second method actually uses less logic than the first, but neither
was ideal. I must say, I am not impressed with XST synthesis of
something so basic as a counter :-(
In the numeric_std package there is a type called "unsigned" (and
another called "signed") for doing math. This allows you keep the
concept of a signed and unsigned number separate. You would do it like
this:
signal count : unsigned ( 7 downto 0);
....
count <= count + 1;
If you really need it in std_logic_vector you can say:
countslv <= std_logic_vector (count);
another called "signed") for doing math. This allows you keep the
concept of a signed and unsigned number separate. You would do it like
this:
signal count : unsigned ( 7 downto 0);
....
count <= count + 1;
If you really need it in std_logic_vector you can say:
countslv <= std_logic_vector (count);
【推荐】国内首个AI IDE,深度理解中文开发场景,立即下载体验Trae
【推荐】编程新体验,更懂你的AI,立即体验豆包MarsCode编程助手
【推荐】抖音旗下AI助手豆包,你的智能百科全书,全免费不限次数
【推荐】轻量又高性能的 SSH 工具 IShell:AI 加持,快人一步
· Linux系列:如何用heaptrack跟踪.NET程序的非托管内存泄露
· 开发者必知的日志记录最佳实践
· SQL Server 2025 AI相关能力初探
· Linux系列:如何用 C#调用 C方法造成内存泄露
· AI与.NET技术实操系列(二):开始使用ML.NET
· 被坑几百块钱后,我竟然真的恢复了删除的微信聊天记录!
· 【自荐】一款简洁、开源的在线白板工具 Drawnix
· 没有Manus邀请码?试试免邀请码的MGX或者开源的OpenManus吧
· 园子的第一款AI主题卫衣上架——"HELLO! HOW CAN I ASSIST YOU TODAY
· 无需6万激活码!GitHub神秘组织3小时极速复刻Manus,手把手教你使用OpenManus搭建本