FPGA中OBUFDS的使用

OBUFDS在手册中的原理图如下

FPGA信号从I口输入,从O、OB输出一对差分信号,用于需要差分信号芯片。

posted @ 2019-07-10 09:58  曼陀罗1  阅读(2594)  评论(0编辑  收藏  举报