摘要: 先写好一个top.sv 查看代码 // 导入VCS或者Modelsim自带的UVM库和宏 `include "uvm_macros.svh" import uvm_pkg::*; // 下面这些sv都是接下来要写的 `include "driver.sv" `include "monitor.sv" 阅读全文
posted @ 2021-12-08 21:56 HsiehTengK`o 阅读(1060) 评论(0) 推荐(0) 编辑