摘要: 不断的思考才能得到提示 阅读全文
posted @ 2020-09-05 20:29 影-fish 阅读(325) 评论(0) 推荐(0) 编辑
摘要: 写不完的笔试题-1 阅读全文
posted @ 2020-09-05 17:05 影-fish 阅读(246) 评论(2) 推荐(0) 编辑
摘要: gvim的插入、替换、复制、删除等实用技巧总结 阅读全文
posted @ 2020-08-26 21:40 影-fish 阅读(2388) 评论(0) 推荐(0) 编辑
摘要: 双端口RAM的verilog实现 阅读全文
posted @ 2020-08-25 16:11 影-fish 阅读(1724) 评论(1) 推荐(0) 编辑
摘要: 单端口RAM--verilog实现 阅读全文
posted @ 2020-08-24 22:20 影-fish 阅读(1559) 评论(1) 推荐(0) 编辑
摘要: 预置循环计数器--实质为计数。 阅读全文
posted @ 2020-08-24 13:34 影-fish 阅读(3505) 评论(0) 推荐(0) 编辑
摘要: 前文分析请看:https://www.cnblogs.com/shadow-fish/p/13451214.html 快时钟域同步到慢时钟域--单bit同步代码: module test ( input clka, input clkb, input rst, input d_in, output 阅读全文
posted @ 2020-08-19 11:23 影-fish 阅读(2814) 评论(1) 推荐(0) 编辑
摘要: 奇数分频电路的代码,有两种情况:①使用带负沿触发的DFF(要求占空比50%),②不使用带负沿触发的DFF; 使用带负边沿触发的:https://www.cnblogs.com/shadow-fish/p/13383903.html 不适用带负边沿触发:实质是向带负边沿触发的DFF靠拢。实验程序为3分 阅读全文
posted @ 2020-08-17 20:07 影-fish 阅读(670) 评论(0) 推荐(0) 编辑
摘要: 快时钟域到慢时钟域的代码补充 阅读全文
posted @ 2020-08-16 21:19 影-fish 阅读(1981) 评论(0) 推荐(0) 编辑