摘要: initial$dumpfile("myfile.dmp");//仿真信息转存到myfile.dmp文件initial$dumpvar;//没有指定变量范围,将所有的信号都转存initial$dumpvar(1,top);//转存模块实例top中的变量 //1表示层次的等级,只转存top下第一层信号 //只转存top模块中的变量,不转存top调用的模块中的信号initial$dumpvar(2,top.m1);//转存top.m1模块下两层的信号initial$dumpvar(0,top.m1);//0表示转存top.m1模块下面各个层的所有信号//启动和停止转... 阅读全文
posted @ 2013-08-09 22:59 sccdlyc 阅读(282) 评论(0) 推荐(0) 编辑