Loading

摘要: SystemVerilog for Design Edition 2 Chapter 3 SystemVerilog extends Verilog’s built-in variable types, and enhances how literal values can be specified 阅读全文
posted @ 2023-05-01 00:02 sasasatori 阅读(417) 评论(0) 推荐(0) 编辑