Loading

摘要: SystemVerilog for Design Edition 2 Chapter 2 SystemVerilog Declaration Spaces Verilog only has limited places in which designers can declare variables 阅读全文
posted @ 2023-04-30 23:31 sasasatori 阅读(690) 评论(0) 推荐(0) 编辑
摘要: ## SystemVerilog for Design Edition 2 Chapter 1 Introduction to SystemVerilog: This chapter provides an overview of SystemVerilog. The topics presente 阅读全文
posted @ 2023-04-30 23:27 sasasatori 阅读(84) 评论(0) 推荐(0) 编辑
摘要: SystemVerilog for Design Edition 2 Catalog 在之前的工作中感受到了verilog建模的低效性,遂开始接触chisel,systemverilog等其他硬件设计语言。目前硬件设计语言的trend如下所示: Part 10: The 2022 Wilson Re 阅读全文
posted @ 2023-04-30 23:25 sasasatori 阅读(130) 评论(0) 推荐(0) 编辑