摘要: 用QuartusII 13.1设计时编译已经通过了,但是仿真却报错了 Error: near "/": syntax error, unexpected '/', expecting ')' 大概就是‘/’ ‘)’之类的结束符出了问题 检查原理图,发现应该是编辑原理图的时候外部元器件或引脚包含了非法 阅读全文
posted @ 2019-11-28 22:11 s1eepDumpling 阅读(3016) 评论(0) 推荐(0) 编辑