摘要: Libero SoC是一款集成了多种功能的FPGA开发软件,可以用来设计、仿真、综合、布局、布线、下载和调试FPGA项目。 1、新建工程 首先,我们需要新建一个工程,点击File->New Project,然后给工程取一个名字,选择工程的存放路径,点击Next。 接下来,我们需要选择FPGA的fam 阅读全文
posted @ 2024-01-15 17:18 Radiumlrb 阅读(1549) 评论(0) 推荐(0) 编辑
摘要: libero soc总体逻辑上和vivado差不多,但是网络上教程较少,libero将很多操作都图形化了 在libero soc中,导入源文件后(使用smartdesign),如果有错误,或者其他原因,是无法拖入顶层文件中的,也就无法进行连线 此处test_module无法被拖入右侧,也就无法连线, 阅读全文
posted @ 2024-01-15 17:01 Radiumlrb 阅读(97) 评论(1) 推荐(0) 编辑