摘要: 一、介绍 1.1 iverilog Icarus Verilog是一个轻量、免费、开源的Verilog编译器,基于C++实现,开发者是 Stephen Williams ,遵循 GNU GPL license 许可证。简称iVerilog,是比较著名的开源HDL仿真工具。 iVerilog以编译器的 阅读全文
posted @ 2024-03-22 16:32 小拳头呀 阅读(1232) 评论(0) 推荐(0) 编辑