上一页 1 ··· 3 4 5 6 7 8 9 10 11 12 下一页

2010年12月16日

七段数码管显示

摘要: 七段数码管显示IP模块[代码] 阅读全文

posted @ 2010-12-16 22:14 齐威王 阅读(767) 评论(1) 推荐(0) 编辑

LCD1602控制器Verilog

摘要: LCD1602的控制器模块和主模块LCD1602的时序和控制寄存器命令见http://www.cnblogs.com/qiweiwang/archive/2010/12/16/1908608.htmlLCD1602的控制模块:[代码]主模块[代码] 阅读全文

posted @ 2010-12-16 22:08 齐威王 阅读(3808) 评论(8) 推荐(2) 编辑

LCD1602数据手册

摘要: 1602LCD主要技术参数:显示容量:16×2个字符芯片工作电压:4.5—5.5V工作电流:2.0mA(5.0V)模块最佳工作电压:5.0V字符尺寸:2.95×4.35(W×H)mm引脚功能说明1602LCD采用标准的14脚(无背光)或16脚(带背光)接口,各引脚接口说明如表10-13所示:编号符号引脚说明编号符号引脚说明1VSS电源地9D2数据2VDD电源正极10D3数据3VL液晶显示偏压11D4数据4RS数据/命令选择12D5数据5R/W读/写选择13D6数据6E使能信号14D7数据7D0数据15BLA背光源正极8D1数据16BLK背光源负极表10-13:引脚接口说明表第1脚:VSS为地电 阅读全文

posted @ 2010-12-16 21:46 齐威王 阅读(15263) 评论(3) 推荐(2) 编辑

2010年12月14日

RS232

摘要: 串口程序,可以连续发送和接受CLK_div是RS232时钟产生模块另外两个接受和发送模块如下:Code highlighting produced by Actipro CodeHighlighter (freeware)http://www.CodeHighlighter.com/-->module RS_232_r(clk9600,reset,datain,dataout,valid);input clk9600,datain,reset;output reg [7:0] dataout;output reg valid;reg[7:0] temp;reg flag;reg [3:0 阅读全文

posted @ 2010-12-14 22:11 齐威王 阅读(1002) 评论(0) 推荐(2) 编辑

2010年12月10日

FFT及周期图法算功率谱密度

摘要: 求FFT变换时,纵坐标和横坐标的问题 阅读全文

posted @ 2010-12-10 09:11 齐威王 阅读(13695) 评论(1) 推荐(1) 编辑

2010年12月4日

RC4算法的430实现

摘要: RC4算法的MSP430实现,本程序中包括按键消抖、SPI传输数据、中断函数、#include <MSP430x14x.h>unsigned char keypoint=0;unsigned char Rpoint=0;int i;unsigned char R_buff[8];unsigned char T_buff[4];unsigned char RR_buff[4];char s_box[256];void getkey(unsigned char *key,int key_len, char *s_box);void main(void){ WDTCTL = WDTPW 阅读全文

posted @ 2010-12-04 09:50 齐威王 阅读(694) 评论(0) 推荐(0) 编辑

2010年11月30日

各种可编程器件和存储器件比较

摘要: 存储器可分为只读存储器(Read-Only Memory,简称ROM)和随机存取存储器(Random Access Memory,简称RAM)。  只读存储器ROM在正常工作状态时,只能从中读取数据,而不能写入数据。ROM的优点是电路结构简单,数据一旦固化在存储器内部后,就可以长期保存,而且在断电后数据也不会丢失,故属于数据非易失性存储器。其缺点是只适用于存储那些固定数据或程序的场合。  随机存取... 阅读全文

posted @ 2010-11-30 20:47 齐威王 阅读(1461) 评论(0) 推荐(0) 编辑

半整数分频器的实现

摘要: 在数字系统设计中,分频器是一种基本电路。分频器的实现非常简单,可采用标准的计数器,也可采用可编程逻辑器件来实现一个整数分频器。分频器通常用来对某个给定频率进行分频,得到所需的频率。在某些场合下,用户所需要的频率与频率时钟源不是整数倍关系,此时可采用小数分频器进行分频。  小数分频的基本原理为脉冲吞吐计数法:设计两个不同分频比的整数分频器,通过控制单位时间内两种分频比出现的不同次数,从而获得所需的小... 阅读全文

posted @ 2010-11-30 16:19 齐威王 阅读(3273) 评论(0) 推荐(1) 编辑

I2C总线时序

摘要: http://hi.baidu.com/yangfengyuk/blog/item/16e586fa52fd211f6d22ebfe.html/cmtid/c2d8e62ba4da1a345243c178I2C(Inter-Integrated Circuit)总线是一种由PHILIPS公司开发的两线式串行总线,用于连接微控制器及其外围设备。I2C总线产生于在80年代,最初为音频和视频设备开发,如... 阅读全文

posted @ 2010-11-30 11:47 齐威王 阅读(40103) 评论(2) 推荐(8) 编辑

总线协议之串口RS232

摘要: 1 逻辑电平EIA-RS-232C 对电器特性、逻辑电平和各种信号线功能都作了规定。在TxD和RxD上:  逻辑1(MARK)=-3V~-15V     逻辑0(SPACE)=+3~+15V在RTS、CTS、DSR、DTR和DCD等控制线上:  信号有效(接通,ON状态,正电压)=+3V~+15V     信号无效(断开,OFF状态,负电压)=-3V~-15V2. 引脚定义针脚 定义 符号1 载波... 阅读全文

posted @ 2010-11-30 11:38 齐威王 阅读(3200) 评论(0) 推荐(0) 编辑

上一页 1 ··· 3 4 5 6 7 8 9 10 11 12 下一页

导航