2010年12月14日

RS232

摘要: 串口程序,可以连续发送和接受CLK_div是RS232时钟产生模块另外两个接受和发送模块如下:Code highlighting produced by Actipro CodeHighlighter (freeware)http://www.CodeHighlighter.com/-->module RS_232_r(clk9600,reset,datain,dataout,valid);input clk9600,datain,reset;output reg [7:0] dataout;output reg valid;reg[7:0] temp;reg flag;reg [3:0 阅读全文

posted @ 2010-12-14 22:11 齐威王 阅读(1002) 评论(0) 推荐(2) 编辑

导航