用Quartus自动分配引脚

以下介绍的方法很实用, 但还有许多同学不知道。因此本话题在Quartus 板块和此板块都发表,请广为传播。
FPGA芯片的引脚很多,如果手工分配,工作量很大,且容易出错。应该采用自动分配引脚的方法。以DE2板子为例, 具体做法如下:
1  打开一个已经分配好引脚的DE2工程,应该选择使用引脚比较多的DE2工程, 比如DE2演示光盘上的DE2_Top。
2  点击Assignments 菜单下的Pins 菜单项, 打开引脚分配界面, 点击File菜单下的Export菜单项,打开引脚导出对话框, 选择导出文件类型为Tcl。
3  在一个新的DE2工程, 若要分配引脚, 点击View菜单下Utility Windows 的Tcl Console, 在Quartus 主界面的右下方,出现Tcl Console
4   将第二步生成的Tcl文件用记事本打开,选择全部内容复制, 在Quartus的Tcl Console窗口粘贴, 并按回车键结束Tcl 命令。
5   点击Assignments 菜单下的Pins 菜单项, 打开引脚分配界面,可以见到已经分配了引脚。在工程中需要使用同样的I/O端口名称

此方法可用于各类板卡, 不管是De0, DE1, DE2,DE3, 只要第二步和第三步的工程使用相同的板卡就行

 

 

DE0引脚分配

# Copyright (C) 1991-2008 Altera Corporation

# Your use of Altera Corporation's design tools  logic functions 
# and other software and tools  and its AMPP partner logic 
# functions  and any output files from any of the foregoing 
# (including device programming or simulation files)  and any 
# associated documentation or information are expressly subject 
# to the terms and conditions of the Altera Program License 
# Subscription Agreement  Altera MegaCore Function License 
# Agreement  or other applicable license agreement  including  
# without limitation  that your use is for the sole purpose of 
# programming logic devices manufactured by Altera and sold by 
# Altera or its authorized distributors.  Please refer to the 
# applicable agreement for further details.
# Quartus II Version 8.0 Build 215 05/29/2008 SJ Full Version
# File: D:\DE0\DE0_TOP.csv
# Generated on: Thu Jul 08 08:38:39 2010
# Note: The column header names should not be changed if you wish to import this .csv file into the Quartus II software.
To Direction Location I/O Bank Vref Group I/O Standard Reserved Group Current Strength
LEDG[9] Unknown PIN_B1 1 B1_N0 3.3-V LVTTL 8mA
LEDG[8] Unknown PIN_B2 1 B1_N0 3.3-V LVTTL 8mA
LEDG[7] Unknown PIN_C2 1 B1_N0 3.3-V LVTTL 8mA
LEDG[6] Unknown PIN_C1 1 B1_N0 3.3-V LVTTL 8mA
LEDG[5] Unknown PIN_E1 1 B1_N0 3.3-V LVTTL 8mA
LEDG[4] Unknown PIN_F2 1 B1_N0 3.3-V LVTTL 8mA
LEDG[3] Unknown PIN_H1 1 B1_N1 3.3-V LVTTL 8mA
LEDG[2] Unknown PIN_J3 1 B1_N1 3.3-V LVTTL 8mA
LEDG[1] Unknown PIN_J2 1 B1_N1 3.3-V LVTTL 8mA
LEDG[0] Unknown PIN_J1 1 B1_N1 3.3-V LVTTL 8mA
SW[9] Unknown PIN_D2 1 B1_N0 3.3-V LVTTL 8mA
SW[8] Unknown PIN_E4 1 B1_N0 3.3-V LVTTL 8mA
SW[7] Unknown PIN_E3 1 B1_N0 3.3-V LVTTL 8mA
SW[6] Unknown PIN_H7 1 B1_N0 3.3-V LVTTL 8mA
SW[5] Unknown PIN_J7 1 B1_N1 3.3-V LVTTL 8mA
SW[4] Unknown PIN_G5 1 B1_N0 3.3-V LVTTL 8mA
SW[3] Unknown PIN_G4 1 B1_N0 3.3-V LVTTL 8mA
SW[2] Unknown PIN_H6 1 B1_N0 3.3-V LVTTL 8mA
SW[1] Unknown PIN_H5 1 B1_N0 3.3-V LVTTL 8mA
SW[0] Unknown PIN_J6 1 B1_N0 3.3-V LVTTL 8mA
BUTTON[2] Unknown PIN_F1 1 B1_N0 3.3-V LVTTL 8mA
BUTTON[1] Unknown PIN_G3 1 B1_N0 3.3-V LVTTL 8mA
BUTTON[0] Unknown PIN_H2 1 B1_N1 3.3-V LVTTL 8mA
FL_ADDR[21] Unknown PIN_R2 2 B2_N0 3.3-V LVTTL 8mA
FL_ADDR[20] Unknown PIN_P3 2 B2_N0 3.3-V LVTTL 8mA
FL_ADDR[19] Unknown PIN_P1 2 B2_N0 3.3-V LVTTL 8mA
FL_ADDR[18] Unknown PIN_M6 2 B2_N0 3.3-V LVTTL 8mA
FL_ADDR[17] Unknown PIN_M5 2 B2_N0 3.3-V LVTTL 8mA
FL_ADDR[16] Unknown PIN_AA2 2 B2_N1 3.3-V LVTTL 8mA
FL_ADDR[15] Unknown PIN_L6 2 B2_N0 3.3-V LVTTL 8mA
FL_ADDR[14] Unknown PIN_L7 2 B2_N0 3.3-V LVTTL 8mA
FL_ADDR[13] Unknown PIN_M1 2 B2_N0 3.3-V LVTTL 8mA
FL_ADDR[12] Unknown PIN_M2 2 B2_N0 3.3-V LVTTL 8mA
FL_ADDR[11] Unknown PIN_M3 2 B2_N0 3.3-V LVTTL 8mA
FL_ADDR[10] Unknown PIN_N1 2 B2_N0 3.3-V LVTTL 8mA
FL_ADDR[9] Unknown PIN_N2 2 B2_N0 3.3-V LVTTL 8mA
FL_ADDR[8] Unknown PIN_P2 2 B2_N0 3.3-V LVTTL 8mA
FL_ADDR[7] Unknown PIN_M4 2 B2_N0 3.3-V LVTTL 8mA
FL_ADDR[6] Unknown PIN_M8 2 B2_N1 3.3-V LVTTL 8mA
FL_ADDR[5] Unknown PIN_N6 2 B2_N0 3.3-V LVTTL 8mA
FL_ADDR[4] Unknown PIN_N5 2 B2_N0 3.3-V LVTTL 8mA
FL_ADDR[3] Unknown PIN_N7 2 B2_N1 3.3-V LVTTL 8mA
FL_ADDR[2] Unknown PIN_P6 2 B2_N1 3.3-V LVTTL 8mA
FL_ADDR[1] Unknown PIN_P5 2 B2_N0 3.3-V LVTTL 8mA
FL_ADDR[0] Unknown PIN_P7 2 B2_N1 3.3-V LVTTL 8mA
FL_BYTE_N Unknown PIN_AA1 2 B2_N1 3.3-V LVTTL 8mA
FL_CE_N Unknown PIN_N8 2 B2_N1 3.3-V LVTTL 8mA
FL_DQ[0] Unknown PIN_R7 2 B2_N1 3.3-V LVTTL 8mA
FL_DQ[1] Unknown PIN_P8 2 B2_N1 3.3-V LVTTL 8mA
FL_DQ[2] Unknown PIN_R8 2 B2_N1 3.3-V LVTTL 8mA
FL_DQ[3] Unknown PIN_U1 2 B2_N0 3.3-V LVTTL 8mA
FL_DQ[4] Unknown PIN_V2 2 B2_N0 3.3-V LVTTL 8mA
FL_DQ[5] Unknown PIN_V3 2 B2_N1 3.3-V LVTTL 8mA
FL_DQ[6] Unknown PIN_W1 2 B2_N1 3.3-V LVTTL 8mA
FL_DQ[7] Unknown PIN_Y1 2 B2_N1 3.3-V LVTTL 8mA
FL_DQ[8] Unknown PIN_T5 2 B2_N1 3.3-V LVTTL 8mA
FL_DQ[9] Unknown PIN_T7 2 B2_N1 3.3-V LVTTL 8mA
FL_DQ[10] Unknown PIN_T4 2 B2_N1 3.3-V LVTTL 8mA
FL_DQ[11] Unknown PIN_U2 2 B2_N0 3.3-V LVTTL 8mA
FL_DQ[12] Unknown PIN_V1 2 B2_N0 3.3-V LVTTL 8mA
FL_DQ[13] Unknown PIN_V4 2 B2_N1 3.3-V LVTTL 8mA
FL_DQ[14] Unknown PIN_W2 2 B2_N1 3.3-V LVTTL 8mA
FL_OE_N Unknown PIN_R6 2 B2_N1 3.3-V LVTTL 8mA
FL_RST_N Unknown PIN_R1 2 B2_N0 3.3-V LVTTL 8mA
FL_RY Unknown PIN_M7 2 B2_N0 3.3-V LVTTL 8mA
FL_WE_N Unknown PIN_P4 2 B2_N0 3.3-V LVTTL 8mA
FL_WP_N Unknown PIN_T3 2 B2_N1 3.3-V LVTTL 8mA
FL_DQ[15] Unknown PIN_Y2 2 B2_N1 3.3-V LVTTL 8mA
GPIO0_D[31] Unknown PIN_U7 3 B3_N1 3.3-V LVTTL 8mA
GPIO0_D[30] Unknown PIN_V5 3 B3_N1 3.3-V LVTTL 8mA
GPIO0_D[29] Unknown PIN_W6 3 B3_N1 3.3-V LVTTL 8mA
GPIO0_D[28] Unknown PIN_W7 3 B3_N1 3.3-V LVTTL 8mA
GPIO0_D[27] Unknown PIN_V8 3 B3_N0 3.3-V LVTTL 8mA
GPIO0_D[26] Unknown PIN_T8 3 B3_N1 3.3-V LVTTL 8mA
GPIO0_D[25] Unknown PIN_W10 3 B3_N0 3.3-V LVTTL 8mA
GPIO0_D[24] Unknown PIN_Y10 3 B3_N0 3.3-V LVTTL 8mA
GPIO0_D[23] Unknown PIN_V11 3 B3_N0 3.3-V LVTTL 8mA
GPIO0_D[22] Unknown PIN_R10 3 B3_N1 3.3-V LVTTL 8mA
GPIO0_D[21] Unknown PIN_V12 4 B4_N1 3.3-V LVTTL 8mA
GPIO0_D[20] Unknown PIN_U13 4 B4_N0 3.3-V LVTTL 8mA
GPIO0_D[19] Unknown PIN_W13 4 B4_N1 3.3-V LVTTL 8mA
GPIO0_D[18] Unknown PIN_Y13 4 B4_N1 3.3-V LVTTL 8mA
GPIO0_D[17] Unknown PIN_U14 4 B4_N0 3.3-V LVTTL 8mA
GPIO0_D[16] Unknown PIN_V14 4 B4_N0 3.3-V LVTTL 8mA
GPIO0_D[15] Unknown PIN_AA4 3 B3_N1 3.3-V LVTTL 8mA
GPIO0_D[14] Unknown PIN_AB4 3 B3_N1 3.3-V LVTTL 8mA
GPIO0_D[13] Unknown PIN_AA5 3 B3_N1 3.3-V LVTTL 8mA
GPIO0_D[12] Unknown PIN_AB5 3 B3_N1 3.3-V LVTTL 8mA
GPIO0_D[11] Unknown PIN_AA8 3 B3_N0 3.3-V LVTTL 8mA
GPIO0_D[10] Unknown PIN_AB8 3 B3_N0 3.3-V LVTTL 8mA
GPIO0_D[9] Unknown PIN_AA10 3 B3_N0 3.3-V LVTTL 8mA
GPIO0_D[8] Unknown PIN_AB10 3 B3_N0 3.3-V LVTTL 8mA
GPIO0_D[7] Unknown PIN_AA13 4 B4_N1 3.3-V LVTTL 8mA
GPIO0_D[6] Unknown PIN_AB13 4 B4_N1 3.3-V LVTTL 8mA
GPIO0_D[5] Unknown PIN_AB14 4 B4_N1 3.3-V LVTTL 8mA
GPIO0_D[4] Unknown PIN_AA14 4 B4_N1 3.3-V LVTTL 8mA
GPIO0_D[3] Unknown PIN_AB15 4 B4_N1 3.3-V LVTTL 8mA
GPIO0_D[2] Unknown PIN_AA15 4 B4_N1 3.3-V LVTTL 8mA
GPIO0_D[1] Unknown PIN_AA16 4 B4_N1 3.3-V LVTTL 8mA
GPIO0_D[0] Unknown PIN_AB16 4 B4_N1 3.3-V LVTTL 8mA
GPIO0_CLKIN[0] Unknown PIN_AB12 4 B4_N1 3.3-V LVTTL 8mA
GPIO0_CLKIN[1] Unknown PIN_AA12 4 B4_N1 3.3-V LVTTL 8mA
GPIO0_CLKOUT[0] Unknown PIN_AB3 3 B3_N1 3.3-V LVTTL 8mA
GPIO0_CLKOUT[1] Unknown PIN_AA3 3 B3_N1 3.3-V LVTTL 8mA
GPIO1_CLKIN[1] Unknown PIN_AA11 3 B3_N0 3.3-V LVTTL 8mA
GPIO1_CLKIN[0] Unknown PIN_AB11 3 B3_N0 3.3-V LVTTL 8mA
GPIO1_CLKOUT[1] Unknown PIN_T16 4 B4_N0 3.3-V LVTTL 8mA
GPIO1_CLKOUT[0] Unknown PIN_R16 4 B4_N0 3.3-V LVTTL 8mA
GPIO1_D[31] Unknown PIN_V7 3 B3_N1 3.3-V LVTTL 8mA
GPIO1_D[30] Unknown PIN_V6 3 B3_N1 3.3-V LVTTL 8mA
GPIO1_D[29] Unknown PIN_U8 3 B3_N1 3.3-V LVTTL 8mA
GPIO1_D[28] Unknown PIN_Y7 3 B3_N0 3.3-V LVTTL 8mA
GPIO1_D[27] Unknown PIN_T9 3 B3_N1 3.3-V LVTTL 8mA
GPIO1_D[26] Unknown PIN_U9 3 B3_N0 3.3-V LVTTL 8mA
GPIO1_D[25] Unknown PIN_T10 3 B3_N0 3.3-V LVTTL 8mA
GPIO1_D[24] Unknown PIN_U10 3 B3_N0 3.3-V LVTTL 8mA
GPIO1_D[23] Unknown PIN_R12 3 B3_N1 3.3-V LVTTL 8mA
GPIO1_D[22] Unknown PIN_R11 3 B3_N1 3.3-V LVTTL 8mA
GPIO1_D[21] Unknown PIN_T12 4 B4_N1 3.3-V LVTTL 8mA
GPIO1_D[20] Unknown PIN_U12 4 B4_N1 3.3-V LVTTL 8mA
GPIO1_D[19] Unknown PIN_R14 4 B4_N0 3.3-V LVTTL 8mA
GPIO1_D[18] Unknown PIN_T14 4 B4_N0 3.3-V LVTTL 8mA
GPIO1_D[17] Unknown PIN_AB7 3 B3_N0 3.3-V LVTTL 8mA
GPIO1_D[16] Unknown PIN_AA7 3 B3_N0 3.3-V LVTTL 8mA
GPIO1_D[15] Unknown PIN_AA9 3 B3_N0 3.3-V LVTTL 8mA
GPIO1_D[14] Unknown PIN_AB9 3 B3_N0 3.3-V LVTTL 8mA
GPIO1_D[13] Unknown PIN_V15 4 B4_N0 3.3-V LVTTL 8mA
GPIO1_D[12] Unknown PIN_W15 4 B4_N0 3.3-V LVTTL 8mA
GPIO1_D[11] Unknown PIN_T15 4 B4_N0 3.3-V LVTTL 8mA
GPIO1_D[10] Unknown PIN_U15 4 B4_N0 3.3-V LVTTL 8mA
GPIO1_D[9] Unknown PIN_W17 4 B4_N0 3.3-V LVTTL 8mA
GPIO1_D[8] Unknown PIN_Y17 4 B4_N0 3.3-V LVTTL 8mA
GPIO1_D[7] Unknown PIN_AB17 4 B4_N1 3.3-V LVTTL 8mA
GPIO1_D[6] Unknown PIN_AA17 4 B4_N1 3.3-V LVTTL 8mA
GPIO1_D[5] Unknown PIN_AA18 4 B4_N0 3.3-V LVTTL 8mA
GPIO1_D[4] Unknown PIN_AB18 4 B4_N0 3.3-V LVTTL 8mA
GPIO1_D[3] Unknown PIN_AB19 4 B4_N0 3.3-V LVTTL 8mA
GPIO1_D[2] Unknown PIN_AA19 4 B4_N0 3.3-V LVTTL 8mA
GPIO1_D[1] Unknown PIN_AB20 4 B4_N0 3.3-V LVTTL 8mA
GPIO1_D[0] Unknown PIN_AA20 4 B4_N0 3.3-V LVTTL 8mA
PS2_KBCLK Unknown PIN_P22 5 B5_N0 3.3-V LVTTL 8mA
PS2_KBDAT Unknown PIN_P21 5 B5_N0 3.3-V LVTTL 8mA
PS2_MSCLK Unknown PIN_R21 5 B5_N0 3.3-V LVTTL 8mA
PS2_MSDAT Unknown PIN_R22 5 B5_N0 3.3-V LVTTL 8mA
UART_RXD Unknown PIN_U22 5 B5_N0 3.3-V LVTTL 8mA
UART_TXD Unknown PIN_U21 5 B5_N0 3.3-V LVTTL 8mA
UART_RTS Unknown PIN_V22 5 B5_N1 3.3-V LVTTL 8mA
UART_CTS Unknown PIN_V21 5 B5_N1 3.3-V LVTTL 8mA
SD_CLK Unknown PIN_Y21 5 B5_N1 3.3-V LVTTL 8mA
SD_CMD Unknown PIN_Y22 5 B5_N1 3.3-V LVTTL 8mA
SD_DAT Unknown PIN_AA22 5 B5_N1 3.3-V LVTTL 8mA
SD_WP_N Unknown PIN_W20 5 B5_N1 3.3-V LVTTL 8mA
LCD_DATA[7] Unknown PIN_C20 6 B6_N0 3.3-V LVTTL 8mA
LCD_DATA[6] Unknown PIN_D20 6 B6_N0 3.3-V LVTTL 8mA
LCD_DATA[5] Unknown PIN_B21 6 B6_N0 3.3-V LVTTL 8mA
LCD_DATA[4] Unknown PIN_B22 6 B6_N0 3.3-V LVTTL 8mA
LCD_DATA[3] Unknown PIN_C21 6 B6_N0 3.3-V LVTTL 8mA
LCD_DATA[2] Unknown PIN_C22 6 B6_N0 3.3-V LVTTL 8mA
LCD_DATA[1] Unknown PIN_D21 6 B6_N0 3.3-V LVTTL 8mA
LCD_DATA[0] Unknown PIN_D22 6 B6_N0 3.3-V LVTTL 8mA
LCD_RW Unknown PIN_E22 6 B6_N0 3.3-V LVTTL 8mA
LCD_RS Unknown PIN_F22 6 B6_N1 3.3-V LVTTL 8mA
LCD_EN Unknown PIN_E21 6 B6_N0 3.3-V LVTTL 8mA
LCD_BLON Unknown PIN_F21 6 B6_N0 3.3-V LVTTL 8mA
VGA_G[3] Unknown PIN_J21 6 B6_N1 3.3-V LVTTL 8mA
VGA_G[2] Unknown PIN_K17 6 B6_N1 3.3-V LVTTL 8mA
VGA_G[1] Unknown PIN_J17 6 B6_N0 3.3-V LVTTL 8mA
VGA_G[0] Unknown PIN_H22 6 B6_N1 3.3-V LVTTL 8mA
VGA_HS Unknown PIN_L21 6 B6_N1 3.3-V LVTTL 8mA
VGA_VS Unknown PIN_L22 6 B6_N1 3.3-V LVTTL 8mA
VGA_R[3] Unknown PIN_H21 6 B6_N1 3.3-V LVTTL 8mA
VGA_R[2] Unknown PIN_H20 6 B6_N0 3.3-V LVTTL 8mA
VGA_R[1] Unknown PIN_H17 6 B6_N0 3.3-V LVTTL 8mA
VGA_R[0] Unknown PIN_H19 6 B6_N0 3.3-V LVTTL 8mA
VGA_B[3] Unknown PIN_K18 6 B6_N1 3.3-V LVTTL 8mA
VGA_B[2] Unknown PIN_J22 6 B6_N1 3.3-V LVTTL 8mA
VGA_B[1] Unknown PIN_K21 6 B6_N1 3.3-V LVTTL 8mA
VGA_B[0] Unknown PIN_K22 6 B6_N1 3.3-V LVTTL 8mA
CLOCK_50 Unknown PIN_G21 6 B6_N1 3.3-V LVTTL 8mA
HEX0_D[0] Unknown PIN_E11 7 B7_N1 3.3-V LVTTL 8mA
HEX0_D[1] Unknown PIN_F11 7 B7_N1 3.3-V LVTTL 8mA
HEX0_D[2] Unknown PIN_H12 7 B7_N1 3.3-V LVTTL 8mA
HEX0_D[3] Unknown PIN_H13 7 B7_N1 3.3-V LVTTL 8mA
HEX0_D[4] Unknown PIN_G12 7 B7_N1 3.3-V LVTTL 8mA
HEX0_D[5] Unknown PIN_F12 7 B7_N1 3.3-V LVTTL 8mA
HEX0_D[6] Unknown PIN_F13 7 B7_N1 3.3-V LVTTL 8mA
HEX0_DP Unknown PIN_D13 7 B7_N1 3.3-V LVTTL 8mA
HEX1_D[6] Unknown PIN_A15 7 B7_N1 3.3-V LVTTL 8mA
HEX1_D[5] Unknown PIN_E14 7 B7_N1 3.3-V LVTTL 8mA
HEX1_D[4] Unknown PIN_B14 7 B7_N1 3.3-V LVTTL 8mA
HEX1_D[3] Unknown PIN_A14 7 B7_N1 3.3-V LVTTL 8mA
HEX1_D[2] Unknown PIN_C13 7 B7_N1 3.3-V LVTTL 8mA
HEX1_D[1] Unknown PIN_B13 7 B7_N1 3.3-V LVTTL 8mA
HEX1_D[0] Unknown PIN_A13 7 B7_N1 3.3-V LVTTL 8mA
HEX1_DP Unknown PIN_B15 7 B7_N1 3.3-V LVTTL 8mA
HEX2_D[6] Unknown PIN_F14 7 B7_N0 3.3-V LVTTL 8mA
HEX2_D[5] Unknown PIN_B17 7 B7_N1 3.3-V LVTTL 8mA
HEX2_D[4] Unknown PIN_A17 7 B7_N1 3.3-V LVTTL 8mA
HEX2_D[3] Unknown PIN_E15 7 B7_N0 3.3-V LVTTL 8mA
HEX2_D[2] Unknown PIN_B16 7 B7_N1 3.3-V LVTTL 8mA
HEX2_D[1] Unknown PIN_A16 7 B7_N1 3.3-V LVTTL 8mA
HEX2_D[0] Unknown PIN_D15 7 B7_N0 3.3-V LVTTL 8mA
HEX2_DP Unknown PIN_A18 7 B7_N0 3.3-V LVTTL 8mA
HEX3_D[6] Unknown PIN_G15 7 B7_N0 3.3-V LVTTL 8mA
HEX3_D[5] Unknown PIN_D19 7 B7_N0 3.3-V LVTTL 8mA
HEX3_D[4] Unknown PIN_C19 7 B7_N0 3.3-V LVTTL 8mA
HEX3_D[3] Unknown PIN_B19 7 B7_N0 3.3-V LVTTL 8mA
HEX3_D[2] Unknown PIN_A19 7 B7_N0 3.3-V LVTTL 8mA
HEX3_D[1] Unknown PIN_F15 7 B7_N0 3.3-V LVTTL 8mA
HEX3_D[0] Unknown PIN_B18 7 B7_N0 3.3-V LVTTL 8mA
HEX3_DP Unknown PIN_G16 7 B7_N0 3.3-V LVTTL 8mA
DRAM_CAS_N Unknown PIN_G8 8 B8_N1 3.3-V LVTTL 8mA
DRAM_CS_N Unknown PIN_G7 8 B8_N1 3.3-V LVTTL 8mA
DRAM_CLK Unknown PIN_E5 8 B8_N1 3.3-V LVTTL 8mA
DRAM_CKE Unknown PIN_E6 8 B8_N1 3.3-V LVTTL 8mA
DRAM_BA_0 Unknown PIN_B5 8 B8_N1 3.3-V LVTTL 8mA
DRAM_BA_1 Unknown PIN_A4 8 B8_N1 3.3-V LVTTL 8mA
DRAM_DQ[15] Unknown PIN_F10 8 B8_N1 3.3-V LVTTL 8mA
DRAM_DQ[14] Unknown PIN_E10 8 B8_N0 3.3-V LVTTL 8mA
DRAM_DQ[13] Unknown PIN_A10 8 B8_N0 3.3-V LVTTL 8mA
DRAM_DQ[12] Unknown PIN_B10 8 B8_N0 3.3-V LVTTL 8mA
DRAM_DQ[11] Unknown PIN_C10 8 B8_N0 3.3-V LVTTL 8mA
DRAM_DQ[10] Unknown PIN_A9 8 B8_N0 3.3-V LVTTL 8mA
DRAM_DQ[9] Unknown PIN_B9 8 B8_N0 3.3-V LVTTL 8mA
DRAM_DQ[8] Unknown PIN_A8 8 B8_N0 3.3-V LVTTL 8mA
DRAM_DQ[7] Unknown PIN_F8 8 B8_N1 3.3-V LVTTL 8mA
DRAM_DQ[6] Unknown PIN_H9 8 B8_N0 3.3-V LVTTL 8mA
DRAM_DQ[5] Unknown PIN_G9 8 B8_N0 3.3-V LVTTL 8mA
DRAM_DQ[4] Unknown PIN_F9 8 B8_N1 3.3-V LVTTL 8mA
DRAM_DQ[3] Unknown PIN_E9 8 B8_N0 3.3-V LVTTL 8mA
DRAM_DQ[2] Unknown PIN_H10 8 B8_N0 3.3-V LVTTL 8mA
DRAM_DQ[1] Unknown PIN_G10 8 B8_N0 3.3-V LVTTL 8mA
DRAM_DQ[0] Unknown PIN_D10 8 B8_N0 3.3-V LVTTL 8mA
DRAM_LDQM Unknown PIN_E7 8 B8_N1 3.3-V LVTTL 8mA
DRAM_UDQM Unknown PIN_B8 8 B8_N0 3.3-V LVTTL 8mA
DRAM_RAS_N Unknown PIN_F7 8 B8_N1 3.3-V LVTTL 8mA
DRAM_WE_N Unknown PIN_D6 8 B8_N1 3.3-V LVTTL 8mA
CLOCK_50_2 Unknown PIN_B12 7 B7_N1 3.3-V LVTTL 8mA
DRAM_ADDR[12] Unknown PIN_C8 8 B8_N0 3.3-V LVTTL 8mA
DRAM_ADDR[11] Unknown PIN_A7 8 B8_N0 3.3-V LVTTL 8mA
DRAM_ADDR[10] Unknown PIN_B4 8 B8_N1 3.3-V LVTTL 8mA
DRAM_ADDR[9] Unknown PIN_B7 8 B8_N0 3.3-V LVTTL 8mA
DRAM_ADDR[8] Unknown PIN_C7 8 B8_N0 3.3-V LVTTL 8mA
DRAM_ADDR[7] Unknown PIN_A6 8 B8_N0 3.3-V LVTTL 8mA
DRAM_ADDR[6] Unknown PIN_B6 8 B8_N0 3.3-V LVTTL 8mA
DRAM_ADDR[5] Unknown PIN_C6 8 B8_N1 3.3-V LVTTL 8mA
DRAM_ADDR[4] Unknown PIN_A5 8 B8_N0 3.3-V LVTTL 8mA
DRAM_ADDR[3] Unknown PIN_C3 8 B8_N1 3.3-V LVTTL 8mA
DRAM_ADDR[2] Unknown PIN_B3 8 B8_N1 3.3-V LVTTL 8mA
DRAM_ADDR[1] Unknown PIN_A3 8 B8_N1 3.3-V LVTTL 8mA
DRAM_ADDR[0] Unknown PIN_C4 8 B8_N1 3.3-V LVTTL 8mA
Unknown PIN_F6 2.5 V 8mA
Unknown PIN_F5 2.5 V 8mA
Unknown PIN_G6 2.5 V 8mA
Unknown PIN_D1 1 B1_N0 2.5 V 8mA
Unknown PIN_E2 1 B1_N0 2.5 V 8mA
Unknown PIN_K6 1 B1_N1 2.5 V 8mA
Unknown PIN_L8 1 B1_N1 2.5 V 8mA
Unknown PIN_K8 1 B1_N1 2.5 V 8mA
Unknown PIN_K7 1 B1_N1 2.5 V 8mA
Unknown PIN_J4 1 B1_N1 2.5 V 8mA
Unknown PIN_K2 1 B1_N1 2.5 V 8mA
Unknown PIN_K1 1 B1_N1 2.5 V 8mA
Unknown PIN_K5 1 B1_N1 2.5 V 8mA
Unknown PIN_L5 1 B1_N1 2.5 V 8mA
Unknown PIN_L2 1 B1_N1 2.5 V 8mA
Unknown PIN_L1 1 B1_N1 2.5 V 8mA
Unknown PIN_L4 1 B1_N1 2.5 V 8mA
Unknown PIN_L3 1 B1_N1 2.5 V 8mA
Unknown PIN_G2 1 B1_N1 2.5 V 8mA
Unknown PIN_G1 1 B1_N1 2.5 V 8mA
Unknown PIN_T2 2 B2_N0 2.5 V 8mA
Unknown PIN_T1 2 B2_N0 2.5 V 8mA
Unknown PIN_R5 2 B2_N1 2.5 V 8mA
Unknown PIN_T6 2.5 V 8mA
Unknown PIN_U5 2.5 V 8mA
Unknown PIN_U6 2.5 V 8mA
Unknown PIN_R9 3 B3_N1 2.5 V 8mA
Unknown PIN_Y4 3 B3_N1 2.5 V 8mA
Unknown PIN_Y3 3 B3_N1 2.5 V 8mA
Unknown PIN_Y6 3 B3_N1 2.5 V 8mA
Unknown PIN_W8 3 B3_N0 2.5 V 8mA
Unknown PIN_Y8 3 B3_N0 2.5 V 8mA
Unknown PIN_V9 3 B3_N0 2.5 V 8mA
Unknown PIN_V10 3 B3_N0 2.5 V 8mA
Unknown PIN_T11 3 B3_N0 2.5 V 8mA
Unknown PIN_U11 3 B3_N0 2.5 V 8mA
Unknown PIN_R13 4 B4_N1 2.5 V 8mA
Unknown PIN_V13 4 B4_N1 2.5 V 8mA
Unknown PIN_W14 4 B4_N1 2.5 V 8mA
Unknown PIN_V16 4 B4_N0 2.5 V 8mA
Unknown PIN_R15 4 B4_N0 2.5 V 8mA
Unknown PIN_V17 2.5 V 8mA
Unknown PIN_V18 2.5 V 8mA
Unknown PIN_U18 2.5 V 8mA
Unknown PIN_AA21 5 B5_N1 2.5 V 8mA
Unknown PIN_P14 5 B5_N1 2.5 V 8mA
Unknown PIN_T17 5 B5_N1 2.5 V 8mA
Unknown PIN_T18 5 B5_N1 2.5 V 8mA
Unknown PIN_W19 5 B5_N1 2.5 V 8mA
Unknown PIN_U20 5 B5_N1 2.5 V 8mA
Unknown PIN_U19 5 B5_N1 2.5 V 8mA
Unknown PIN_N14 5 B5_N1 2.5 V 8mA
Unknown PIN_W22 5 B5_N1 2.5 V 8mA
Unknown PIN_W21 5 B5_N1 2.5 V 8mA
Unknown PIN_P15 5 B5_N1 2.5 V 8mA
Unknown PIN_P16 5 B5_N1 2.5 V 8mA
Unknown PIN_R17 5 B5_N1 2.5 V 8mA
Unknown PIN_M15 5 B5_N1 2.5 V 8mA
Unknown PIN_N15 5 B5_N1 2.5 V 8mA
Unknown PIN_P17 5 B5_N1 2.5 V 8mA
Unknown PIN_R20 5 B5_N1 2.5 V 8mA
Unknown PIN_R18 5 B5_N0 2.5 V 8mA
Unknown PIN_R19 5 B5_N0 2.5 V 8mA
Unknown PIN_N16 5 B5_N0 2.5 V 8mA
Unknown PIN_P20 5 B5_N0 2.5 V 8mA
Unknown PIN_N20 5 B5_N0 2.5 V 8mA
Unknown PIN_N19 5 B5_N0 2.5 V 8mA
Unknown PIN_N17 5 B5_N0 2.5 V 8mA
Unknown PIN_N18 5 B5_N0 2.5 V 8mA
Unknown PIN_N22 5 B5_N0 2.5 V 8mA
Unknown PIN_N21 5 B5_N0 2.5 V 8mA
Unknown PIN_M22 5 B5_N0 2.5 V 8mA
Unknown PIN_M21 5 B5_N0 2.5 V 8mA
Unknown PIN_M20 5 B5_N0 2.5 V 8mA
Unknown PIN_M19 5 B5_N0 2.5 V 8mA
Unknown PIN_M16 5 B5_N0 2.5 V 8mA
Unknown PIN_T22 5 B5_N0 2.5 V 8mA
Unknown PIN_T21 5 B5_N0 2.5 V 8mA
Unknown PIN_G22 6 B6_N1 2.5 V 8mA
Unknown PIN_M18 6 B6_N1 2.5 V 8mA
Unknown PIN_M17 6 B6_N1 2.5 V 8mA
Unknown PIN_L18 6 B6_N1 2.5 V 8mA
Unknown PIN_L17 6 B6_N1 2.5 V 8mA
Unknown PIN_K20 6 B6_N1 2.5 V 8mA
Unknown PIN_L16 6 B6_N1 2.5 V 8mA
Unknown PIN_L15 6 B6_N1 2.5 V 8mA
Unknown PIN_K15 6 B6_N1 2.5 V 8mA
Unknown PIN_K19 6 B6_N1 2.5 V 8mA
Unknown PIN_J15 6 B6_N1 2.5 V 8mA
Unknown PIN_J16 6 B6_N1 2.5 V 8mA
Unknown PIN_K16 6 B6_N1 2.5 V 8mA
Unknown PIN_J18 6 B6_N1 2.5 V 8mA
Unknown PIN_H18 6 B6_N0 2.5 V 8mA
Unknown PIN_H16 6 B6_N0 2.5 V 8mA
Unknown PIN_F20 6 B6_N0 2.5 V 8mA
Unknown PIN_F19 6 B6_N0 2.5 V 8mA
Unknown PIN_G18 6 B6_N0 2.5 V 8mA
Unknown PIN_F17 6 B6_N0 2.5 V 8mA
Unknown PIN_G17 6 B6_N0 2.5 V 8mA
Unknown PIN_F18 2.5 V 8mA
Unknown PIN_E18 2.5 V 8mA
Unknown PIN_E17 2.5 V 8mA
Unknown PIN_F16 7 B7_N0 2.5 V 8mA
Unknown PIN_E16 7 B7_N0 2.5 V 8mA
Unknown PIN_G14 7 B7_N0 2.5 V 8mA
Unknown PIN_D17 7 B7_N0 2.5 V 8mA
Unknown PIN_A20 7 B7_N0 2.5 V 8mA
Unknown PIN_B20 7 B7_N0 2.5 V 8mA
Unknown PIN_C17 7 B7_N0 2.5 V 8mA
Unknown PIN_H15 7 B7_N0 2.5 V 8mA
Unknown PIN_H14 7 B7_N0 2.5 V 8mA
Unknown PIN_G13 7 B7_N1 2.5 V 8mA
Unknown PIN_C15 7 B7_N1 2.5 V 8mA
Unknown PIN_E13 7 B7_N1 2.5 V 8mA
Unknown PIN_E12 7 B7_N1 2.5 V 8mA
Unknown PIN_A12 7 B7_N1 2.5 V 8mA
Unknown PIN_A11 8 B8_N0 2.5 V 8mA
Unknown PIN_B11 8 B8_N0 2.5 V 8mA
Unknown PIN_H11 8 B8_N0 2.5 V 8mA
Unknown PIN_G11 8 B8_N0 2.5 V 8mA
Unknown PIN_J11 2.5 V 8mA
Unknown PIN_J12 2.5 V 8mA
Unknown PIN_L14 2.5 V 8mA
Unknown PIN_M14 2.5 V 8mA
Unknown PIN_P11 2.5 V 8mA
Unknown PIN_P12 2.5 V 8mA
Unknown PIN_L9 2.5 V 8mA
Unknown PIN_M9 2.5 V 8mA
Unknown PIN_J13 2.5 V 8mA
Unknown PIN_J14 2.5 V 8mA
Unknown PIN_K14 2.5 V 8mA
Unknown PIN_J10 2.5 V 8mA
Unknown PIN_K9 2.5 V 8mA
Unknown PIN_N9 2.5 V 8mA
Unknown PIN_P9 2.5 V 8mA
Unknown PIN_P10 2.5 V 8mA
Unknown PIN_P13 2.5 V 8mA
Unknown PIN_U16 2.5 V 8mA
Unknown PIN_U17 2.5 V 8mA
Unknown PIN_T13 2.5 V 8mA
Unknown PIN_J8 2.5 V 8mA
Unknown PIN_D4 1 2.5 V 8mA
Unknown PIN_F4 1 2.5 V 8mA
Unknown PIN_K4 1 2.5 V 8mA
Unknown PIN_H4 1 2.5 V 8mA
Unknown PIN_N4 2 2.5 V 8mA
Unknown PIN_U4 2 2.5 V 8mA
Unknown PIN_W4 2 2.5 V 8mA
Unknown PIN_R4 2 2.5 V 8mA
Unknown PIN_AB2 3 2.5 V 8mA
Unknown PIN_W5 3 2.5 V 8mA
Unknown PIN_W9 3 2.5 V 8mA
Unknown PIN_W11 3 2.5 V 8mA
Unknown PIN_AA6 3 2.5 V 8mA
Unknown PIN_AB21 4 2.5 V 8mA
Unknown PIN_W12 4 2.5 V 8mA
Unknown PIN_W16 4 2.5 V 8mA
Unknown PIN_W18 4 2.5 V 8mA
Unknown PIN_Y14 4 2.5 V 8mA
Unknown PIN_P18 5 2.5 V 8mA
Unknown PIN_V19 5 2.5 V 8mA
Unknown PIN_Y19 5 2.5 V 8mA
Unknown PIN_T19 5 2.5 V 8mA
Unknown PIN_E19 6 2.5 V 8mA
Unknown PIN_G19 6 2.5 V 8mA
Unknown PIN_L19 6 2.5 V 8mA
Unknown PIN_J20 6 2.5 V 8mA
Unknown PIN_A21 7 2.5 V 8mA
Unknown PIN_D12 7 2.5 V 8mA
Unknown PIN_D14 7 2.5 V 8mA
Unknown PIN_D16 7 2.5 V 8mA
Unknown PIN_D18 7 2.5 V 8mA
Unknown PIN_A2 8 2.5 V 8mA
Unknown PIN_D5 8 2.5 V 8mA
Unknown PIN_D9 8 2.5 V 8mA
Unknown PIN_D11 8 2.5 V 8mA
Unknown PIN_E8 8 2.5 V 8mA
Unknown PIN_L10 2.5 V 8mA
Unknown PIN_L11 2.5 V 8mA
Unknown PIN_M10 2.5 V 8mA
Unknown PIN_M11 2.5 V 8mA
Unknown PIN_L12 2.5 V 8mA
Unknown PIN_L13 2.5 V 8mA
Unknown PIN_M12 2.5 V 8mA
Unknown PIN_M13 2.5 V 8mA
Unknown PIN_N11 2.5 V 8mA
Unknown PIN_K11 2.5 V 8mA
Unknown PIN_N12 2.5 V 8mA
Unknown PIN_K12 2.5 V 8mA
Unknown PIN_K13 2.5 V 8mA
Unknown PIN_N13 2.5 V 8mA
Unknown PIN_N10 2.5 V 8mA
Unknown PIN_K10 2.5 V 8mA
Unknown PIN_J9 2.5 V 8mA
Unknown PIN_D7 2.5 V 8mA
Unknown PIN_J5 2.5 V 8mA
Unknown PIN_H8 2.5 V 8mA
Unknown PIN_A1 2.5 V 8mA
Unknown PIN_C5 2.5 V 8mA
Unknown PIN_C9 2.5 V 8mA
Unknown PIN_C11 2.5 V 8mA
Unknown PIN_C12 2.5 V 8mA
Unknown PIN_C14 2.5 V 8mA
Unknown PIN_C16 2.5 V 8mA
Unknown PIN_A22 2.5 V 8mA
Unknown PIN_E20 2.5 V 8mA
Unknown PIN_G20 2.5 V 8mA
Unknown PIN_L20 2.5 V 8mA
Unknown PIN_P19 2.5 V 8mA
Unknown PIN_V20 2.5 V 8mA
Unknown PIN_Y20 2.5 V 8mA
Unknown PIN_AB22 2.5 V 8mA
Unknown PIN_Y18 2.5 V 8mA
Unknown PIN_Y16 2.5 V 8mA
Unknown PIN_Y12 2.5 V 8mA
Unknown PIN_Y11 2.5 V 8mA
Unknown PIN_Y9 2.5 V 8mA
Unknown PIN_Y5 2.5 V 8mA
Unknown PIN_AB1 2.5 V 8mA
Unknown PIN_N3 2.5 V 8mA
Unknown PIN_U3 2.5 V 8mA
Unknown PIN_W3 2.5 V 8mA
Unknown PIN_D3 2.5 V 8mA
Unknown PIN_F3 2.5 V 8mA
Unknown PIN_K3 2.5 V 8mA
Unknown PIN_H3 2.5 V 8mA
Unknown PIN_R3 2.5 V 8mA
Unknown PIN_AB6 2.5 V 8mA
Unknown PIN_Y15 2.5 V 8mA
Unknown PIN_T20 2.5 V 8mA
Unknown PIN_J19 2.5 V 8mA
Unknown PIN_C18 2.5 V 8mA
Unknown PIN_D8 2.5 V 8mA

posted on 2010-07-29 23:16  齐威王  阅读(7080)  评论(1编辑  收藏  举报

导航