摘要: 这个小项目读取一张bmp格式的图像文件,做灰度化,二值化或者色彩调整并输出 工程为验证性仿真工程,无需上板,含有不可综合代码,也不能上板 首先,需要借助MATLAB将bmp格式图像文件转化为hex格式 Verilog代码部分包含一个图像读取模块,该模块有图像处理的部分,和一个图像写模块,还有一个参数 阅读全文
posted @ 2018-11-02 15:47 自由的青 阅读(7331) 评论(0) 推荐(0) 编辑