Loading

摘要: 使用Verilog语言实现8个led流水灯,源码如下: ```verilog module led_test( input clk, //50MHz input reset_n, output reg [7:0] led //output 8 leds ); reg [31:0] cnt; //计数 阅读全文
posted @ 2023-05-29 16:48 记录学习的Lyx 阅读(74) 评论(0) 推荐(0) 编辑