摘要: 有时候在case语句中会有不同选择执行相同操作的情况,为了简化代码,可以将其合并。 以下解答来自百度知道(由于排版问题,有相应修改): verilog reg [1:0]addr_cnt=2'b11; reg read=1'b1; always@(posedge clk_40M) begin add 阅读全文
posted @ 2016-06-04 11:37 屋中人 阅读(3468) 评论(0) 推荐(0) 编辑
返回顶部