摘要: 在Verilog 1995規定,對於沒宣告的信號會自動視為wire,這樣常常造成debug的困難,Verilog 2001另外定義了`default_nettype none,將不再自動產生wire. 1 module default_nettype_none (2 input n0,3 input 阅读全文
posted @ 2020-02-13 10:03 pttkvin 阅读(2661) 评论(0) 推荐(0) 编辑