摘要: FPGA 入门 —— RAM(ip 核与原语的使用) BRAM 简介 XILINX 系列的 FPGA ,如果想要做一个 RAM,有两种方式: 1、使用逻辑资源组成分布式 RAM,即 Distributed RAM 2、使用 XILINX 专用的 Block RAM,即 BRAM 前者是由 CLB 的 阅读全文
posted @ 2023-12-29 15:40 ppqppl 阅读(1709) 评论(0) 推荐(0) 编辑