摘要: # FPGA入门 —— FPGA UART 串口通信 ## 串口简介 UART 通用异步收发传输器( Universal Asynchronous Receiver/Transmitter) ,通常称作 UART。 UART 是一种通用的数据通信协议,也是异步串行通信口(串口)的总称,它在发送数据时 阅读全文
posted @ 2023-06-06 23:58 ppqppl 阅读(567) 评论(0) 推荐(1) 编辑