摘要: FPGA 入门 —— D 触发器仿真 实验目的 在 Quartus 中自己用门电路设计一个 D 触发器,并进行仿真,时序波形验证 在 Quartus 中直接调用一个 D 触发器电路,进行仿真,时序波形验证,与 1 做比较 在 Quartus 中用 Verilog 语言写一个 D 触发器,进行仿真验证 阅读全文
posted @ 2022-11-13 23:06 ppqppl 阅读(549) 评论(0) 推荐(0) 编辑