摘要: 现在常用的电平标准有TTL、CMOS、LVTTL、LVCMOS、ECL、PECL、LVPECL、RS232、RS485等,还有一些速度比较高的 LVDS、GTL、PGTL、CML、HSTL、SSTL等。下面简单介绍一下各自的供电电源、电平标准以及使用注意事项。一、 TTL:Transistor-Transistor Logic 三极管结构。Vcc:5V;VOH>=2.4V;VOL<=0.5V;VIH>=2V;VIL<=0.8V。因为2.4V与5V之间还有很大空闲,对改善噪声容限并没什么好处,又会白白增大系统功耗,还会影响速度。所以后来就把一部分“砍”掉了。也就是后面的L 阅读全文
posted @ 2012-06-06 11:22 poiu_elab 阅读(511) 评论(0) 推荐(0) 编辑
摘要: 1. $skew是Verilog所提供的專門用來做timing check的system task,可以檢查兩個信號間最大的延遲,若兩個信號間的skew大於我們所指定的需求,將產生violation warning。比如下面的这个例子,表示從posedge wire_a到posedge wire_b間的skew limit為4 ns,若skew大於4 ns,將產生timing violation warning。此外,Verilog規定timing check類的system task,一定要放在specify block內。1 specify2 $skew(posedge wire_a,.. 阅读全文
posted @ 2012-06-05 11:05 poiu_elab 阅读(1079) 评论(0) 推荐(0) 编辑
摘要: 转自无双大大的博客http://www.cnblogs.com/oomusou/archive/2010/08/04/timing_slack.htmlhttp://www.cnblogs.com/oomusou/archive/2010/08/04/fmax.htmlhttp://www.cnblogs.com/oomusou/archive/2009/10/31/verilog_signed_overflow.html不转过来实在对不起大大第一篇 非常详尽的阐述了什么是setup time 和 hold time 以及 time slack 是什么东东第二篇 给出了计算Fmax的公式第三篇 阅读全文
posted @ 2012-06-04 22:54 poiu_elab 阅读(709) 评论(0) 推荐(0) 编辑
摘要: 比如你是一个开发人员你写好了一个module_A,之后同时竞争对手也是朋友的公司B写好了一个module_B想把你的module_A加进来连仿FPGA验证但是你担心自己的知识产权问题,所以你不想给rtl,但是连仿确实很有吸引力,你该怎么做呢,好来看看ISE怎么帮你Step 1:建立ISE工程,source选择HDL,选择FPGA类型Step 2:在建立好的工程中加入rtl代码Step 3:更改ISE设定,选择Synthesize-XST的Process properties,在选项里面把IO buffers和bufg都设置成不要加入Step 4:Synthesize生成netlist,就是ng 阅读全文
posted @ 2012-05-26 00:04 poiu_elab 阅读(403) 评论(0) 推荐(0) 编辑
摘要: 从上次的经验教训,对同步reset和异步reset基本有了一个比较清醒的认识,这次正好在eetop上面看到了一个讨论的帖子,这里再说一下这个东西到底是怎么弄的,一般的工业界的硬件的reset是不会真的接给内部模块的rst_n的,而是同步过之后再给内部的模块,这样的话基本就不会有上次提到的removal_time的约束了,所以呢,这里介绍一下通用的电路结构是什么样的。如图这个电路的仿真结果(发出的rst_n_async是用$random函数生成的不规律信号)可以看到这个电路输出的rst_n_sync还是比较令人满意的,代码很简单,如下module rst_n_proc( input w... 阅读全文
posted @ 2012-05-25 20:47 poiu_elab 阅读(1085) 评论(0) 推荐(0) 编辑
摘要: 关于一般的门控时钟结构,首先来看看为什么要有门控时钟Clock tree consume more than 50 % of dynamic power. The components of this power are:1) Power consumed by combinatorial logic whose values are changing on each clock edge 2) Power consumed by flip-flops and 3) The power consumed by the clock buffer tree in the design.通常用的门控 阅读全文
posted @ 2012-05-25 19:00 poiu_elab 阅读(14904) 评论(0) 推荐(0) 编辑
摘要: 基本无所事事已经两周了这两周除了把之前一直没写完的I2C完成了之外,别的东西还是学到了不少的基本意识到了服务器上linux版本与机器32bit/64bit的差别能换用64bit的软件基本都换成了64bit的软件截止今天大部分的synopsys cadence mentor 前端能用到的工具都用了个遍 包括环境变量的设置什么的 自己都弄过了一遍 其中涉及的软件基本有下面几个cadence 的ldv就是IUS就是nc-sim,换成了64bit的,synopsys的dc搞了个自己的环境,本来弄了个32bit的,之后换搞了一个64bit的,vcs环境也搞好了,之前也是搞了一个32bit的,之后换成了一 阅读全文
posted @ 2012-05-23 23:50 poiu_elab 阅读(957) 评论(0) 推荐(0) 编辑
摘要: sndrec32-------录音机 Nslookup-------IP地址侦测器explorer-------打开资源管理器logoff---------注销命令tsshutdn-------60秒倒计时关机命令lusrmgr.msc----本机用户和组services.msc---本地服务设置oobe/msoobe /a----检查XP是否激活notepad--------打开记事本cleanmgr-------**整理net start messenger----开始信使服务compmgmt.msc---计算机管理net stop messenger-----停止信使服务conf---- 阅读全文
posted @ 2012-05-15 22:21 poiu_elab 阅读(244) 评论(0) 推荐(0) 编辑
摘要: 转自OO无双大大的博客 顿首(本文生动形象的讲述了在ieee订立的verilog标准里面blocking/non-blocking 与各种系统函数的执行顺序,读后会对每个数字设计的略糊涂人员有种醍醐灌顶之感,请进)我用的环境还是NC+Debussy主要说的是blocking/non-blocking 和各种仿真时会用到的一些函数和#0的执行时刻module nb_schedule1; reg a, b; integer fp; initial begin fp = $fopen("log.txt","w"); a = 0; b = 0; #1; a... 阅读全文
posted @ 2012-05-15 10:35 poiu_elab 阅读(423) 评论(0) 推荐(0) 编辑
摘要: 一、全国最佳医院排名-综合排名No.1北京协和医院No.2中山大学附属第一医院No.3上海华山医院No.4解放军总院(301医院)No.5上海瑞金医院No.6北京天坛医院No.7西安西京医院No.8上海仁济医院No.9广东省人民医院No.10武汉同济医院二、全国最佳医院排名-神经内科No.1北京宣武医院No.2吉林大学第一临床医院No.3北京中医药大学附属东直门医院No.4北京天坛医院No.5北京协和医院No.6河北医科大学第二医院No.7北京军区总院No.8上海市中医医院No.9复旦大学医学院儿科医院No.10浙江省中医院三、全国最佳医院排名-神经外科No.1北京天坛医院No.2上海华山医院 阅读全文
posted @ 2012-05-14 15:02 poiu_elab 阅读(1755) 评论(0) 推荐(0) 编辑