摘要: 1. $skew是Verilog所提供的專門用來做timing check的system task,可以檢查兩個信號間最大的延遲,若兩個信號間的skew大於我們所指定的需求,將產生violation warning。比如下面的这个例子,表示從posedge wire_a到posedge wire_b間的skew limit為4 ns,若skew大於4 ns,將產生timing violation warning。此外,Verilog規定timing check類的system task,一定要放在specify block內。1 specify2 $skew(posedge wire_a,.. 阅读全文
posted @ 2012-06-05 11:05 poiu_elab 阅读(1079) 评论(0) 推荐(0) 编辑