放养两周总结

基本无所事事已经两周了

这两周除了把之前一直没写完的I2C完成了之外,别的东西还是学到了不少的

基本意识到了服务器上linux版本与机器32bit/64bit的差别

能换用64bit的软件基本都换成了64bit的软件

截止今天

大部分的synopsys cadence mentor 前端能用到的工具都用了个遍 包括环境变量的设置什么的 自己都弄过了一遍 其中涉及的软件基本有下面几个

cadence 的ldv就是IUS就是nc-sim,换成了64bit的,synopsys的dc搞了个自己的环境,本来弄了个32bit的,之后换搞了一个64bit的,vcs环境也搞好了,之前也是搞了一个32bit的,之后换成了一个64bit的, mentor的软件搞了一个AMS一个modelsim,还搞了一个debussy,还有一个matlab,xilinx的ISE8.1,还有一个ARM的ADS,这点软件其实还是花了不少时间搞好的,主要是一些license的问题还有就是一些莫名其妙的环境的问题。

剩下还有就是弄了个自己的综合脚本环境,以后有东西出现的时候就可以自己综合看看了。

除了这些还是把我的vcs和modelsim还有nc-sim的仿真脚本在这里备份一下,以后就可以用了。

首先是modelsim的

1 rm work transcript vsim.wlf -rf
2 vlib work
3 vmap work work
4 vlog -work work -f ./file_list.v  -timescale "1ns/100ps" -nologo -novopt +nospecify +notimingchecks -vlog01compat
5 vsim +notimingchecks -novopt +nospecify -do simvis.do work.tb
6 # if you want to use debussy, remember to modify the modelsim.ini (Veriuser = libpli.so)

simvis.do 可以这么写

1 add wave -hex sim:/tb/*
2 run 2000ns

因为我的debussy也加上了就把debussy运行的脚本也附上,注只是for modelsim的

1 debussy -f file_list.v -ssf wave.fsdb -2001
2 rm wave.fsdb debussy.rc debussyLog -rf

 这里要说明的一点是,如果想要用debussy观看波形的话需要demp进去的几句话是这样的

1 initial begin
2     $fsdbDumpfile("wave.fsdb");
3     $fsdbDumpvars(0, tb);
4 end

接下来的是vcs的

1 rm simv* csrc* *.log ucli.key *.vpd DVEfiles -rf
2 vcs -full64 -PP -f file_list.v +v2k -l compile.log -debug_all -ntb_opts tb_timescale=1ns/100ps
3 simv -l run.log
4 dve -vpd vcdplus.vpd &
5 #dve -session session.vcdplus.vpd.tcl &

再接着是nc-sim的

 1 rm ../work/worklib/* -rf
 2 
 3 ncvlog -64bit -messages -log ../log/ncvlog.log -f file_list.v -work worklib
 4 #ncvlog  -log ../log/ncvlog.log -f file.list 
 5 
 6 #ncelab -messages -access +rwc -log ../log/ncelab.log  -notimingchecks -nospecify  tb:module
 7 ncelab -64bit -messages -access +rwc -log ../log/ncelab.log -timescale 1ns/100ps tb:module
 8 
 9 #ncsim -log ../log/ncsim.log -gui -input simvis.tcl tb:module
10 ncsim  -64bit -messages -log ../log/ncsim.log  -gui  tb:module

simvis.tcl可以这么写

1 database -open wv_tb -shm
2 probe -creat -all -memories -depth all -database wv_tb 
3 database -show
4 simvision -input ./simvision.svcf
5 run

还有就是一些零零散散的收获了

本来老板分配工作的时候说的是要继续做mifare-one的卡,但是王老师那边的意思好像是看这次回来芯片测试的情况,如果好的话最迫切的就是要把typeB和提速防冲突都加上去。

不管怎么说反正人家说什么我就做什么就是了,明天之前他们自己流的ETC的芯片因为加上了自己的FM0编解码,测试情况不是很理想,所以要拿过来一起讨论一下。又帮着小宝他们测试了一下DAC,3701好像也出现了其他的一些问题。不知道重要不重要,因为已经量产了,所以老板好像也不是很愿意和那边的人说。先就这样

再说自己的I2C,本来想把master和slave做在一起的,结果现在我感觉战线太长了,于是就先把自己做好的master测试完了再说别的,slave反正要简单一些,回头再写再测试就是了。也就这么多~

posted @ 2012-05-23 23:50  poiu_elab  阅读(957)  评论(0编辑  收藏  举报