新的任务的总结

一周多的时间,新的任务基本告一段落了,其实我也没干什么,缺少的I2C的东西零零碎碎的凑了上去,在原来的基础上加上了结果不好用,不知道为什么,重新又挂了一次就好使了,之后用了系统组的一块板子来验证I2C通讯,确实没问题,单读单写,连读连写都没问题,就算是过了,一星期啊,各种2B问题唉,反正是糊里糊涂的就好了。

接着DR写的DMA模块和时序转换的模块,我写了一个DUMMY的FIFO来像要用的USB2.0芯片上面的那个一样来模拟一个写入的过程,这周一开始弄弄到今天是弄好了,大概花了一天的时间来理解时序问题,一天多的时间来把memory挂载BUS上面来弄,其实要是昨天不上课,下午上政治,晚上上英语,如果不上课,昨天就能完工的,靠,上课好无聊的。

挂到BUS上面就是原来人家写的memory总是分成4个,方便读写从32bit到8bit的一种转化吧,由于我用fifo里面的mem是256X16的,所以用的时候就比较不好弄如果也是拆成4个的,结果就不那么弄了,直接就弄成256X32的,高16bit干脆就让他们去死。直接补上0就好了,读写的时候再弄了一个sel信号,0的时候读写都通过arm的总线来操作,当1的时候那么写入的工作就用fifo直接接出来的信号来处理。

总的来说没干什么事情,倒是在后来在bus上面挂memory的时候懂了不少关于memory的知识,如果让我自己独立的挂起一块memory应该不成问题了,因为我要挂四根线,所以正好人家的decoder.v和MuxS2M.v他们的sel线都不够多,我自己就加了一些上去,所以大概的通路都明白了一些,虽然控制的信号不是很懂,但是用起来还是不成问题的。

接着就上FPGA上面稍微验证一下就ok了,剩下的工作交给朝朝了。如果接下来有空的时间我想弄个自己亲手搭起来的arm mini系统,测试什么的都会方便不少。嗯,搞起

接着老板下一步的工作又要和王老师合作继续升级芯片,按照之前说的typeB,提速,mifare,看看哪个可以就用哪个,速度弄完,还要流片,唉,一想到流片最后阶段天天测试弄的灰头土脸的唉,就是一身的泪啊~

上一版本的小bug都要去除,再加上新的内容了。

posted @ 2012-03-14 17:29  poiu_elab  阅读(241)  评论(0编辑  收藏  举报