摘要: VHDL library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity count_0to8 is port( clk : in std_logic; clr : in std_logic; q 阅读全文
posted @ 2025-03-28 22:42 Pikature 阅读(7) 评论(0) 推荐(0)