摘要: VHDL library ieee; use ieee.std_logic_1164.all; entity mux4_1 is port( d0, d1, d2, d3 : in std_logic; -- 4个输入 s : in std_logic_vector(1 downto 0); -- 阅读全文
posted @ 2025-03-17 22:25 Pikature 阅读(27) 评论(0) 推荐(0)