摘要: VHDL library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity count_0to8 is port( clk : in std_logic; clr : in std_logic; q 阅读全文
posted @ 2025-03-28 22:42 Pikature 阅读(6) 评论(0) 推荐(0) 编辑
摘要: Java方法 方法重载 package com.xiang.method; public class Demo02 { public static void main(String[] args) { int max = max(20, 100, 10); System.out.println(ma 阅读全文
posted @ 2025-03-26 01:28 Pikature 阅读(2) 评论(0) 推荐(0) 编辑
摘要: VHDL 全加器的四种实现方式 library ieee; use ieee.std_logic_1164.all; entity full_adder4th is generic(tpd : time := 10 ns); port( x, y, c_in : in std_logic; sum, 阅读全文
posted @ 2025-03-24 22:26 Pikature 阅读(2) 评论(0) 推荐(0) 编辑
摘要: Java JavaDoc javadoc命令是用来生成自己API文档的 参数信息: @author 作者名 @version 版本号 @since 指明需要最早使用的jdk版本 @param 参数名 @return 返回值情况 @throws 异常抛出情况 /** * @author XXX * @ 阅读全文
posted @ 2025-03-22 01:49 Pikature 阅读(3) 评论(0) 推荐(0) 编辑
摘要: 每日一题 题目 请解释死锁(Deadlock)的概念及其产生的必要条件,并说明常见的死锁处理策略及其优缺点。 问题分析 此问题考察对操作系统资源管理机制的理解,需深入分析死锁的成因、判定条件及解决方法。回答时需结合理论模型与实际策略,体现系统性思维。 参考答案 1. 死锁的定义 死锁指多个进程因竞争 阅读全文
posted @ 2025-03-21 00:41 Pikature 阅读(3) 评论(0) 推荐(0) 编辑
摘要: VHDL library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity count3 is port (clk:in bit; count:out bit_vector (2 downto 0)) 阅读全文
posted @ 2025-03-19 23:16 Pikature 阅读(3) 评论(0) 推荐(0) 编辑
摘要: 每日一题 面试题:最长递增子序列(Longest Increasing Subsequence, LIS) 题目描述 给定一个整数数组 nums,找到其中最长严格递增子序列的长度(子序列不要求连续)。 示例: 输入:nums = [10,9,2,5,3,7,101,18] 输出:4 解释:最长递增子 阅读全文
posted @ 2025-03-19 00:01 Pikature 阅读(21) 评论(0) 推荐(0) 编辑
摘要: VHDL library ieee; use ieee.std_logic_1164.all; entity mux4_1 is port( d0, d1, d2, d3 : in std_logic; -- 4个输入 s : in std_logic_vector(1 downto 0); -- 阅读全文
posted @ 2025-03-17 22:25 Pikature 阅读(1) 评论(0) 推荐(0) 编辑
摘要: 每日一题 面试题: 请解释以下问题: HTTP/2 的主要改进有哪些?与 HTTP/1.1 相比,它如何解决“队头阻塞”问题? HTTPS 是如何实现数据加密的?详细说明 TLS 握手过程。 HTTP/3 为什么选择基于 UDP 的 QUIC 协议?它解决了哪些传统 TCP 协议的缺陷? 解答: 1 阅读全文
posted @ 2025-03-16 23:55 Pikature 阅读(3) 评论(0) 推荐(0) 编辑
摘要: 每日一题 TCP三次握手详解 三次握手(Three-Way Handshake) 是TCP协议建立可靠连接的核心过程,确保通信双方能够正常收发数据并同步初始序列号。以下是详细步骤和原理: 1. 第一次握手:SYN(客户端 → 服务器) 动作:客户端发送一个TCP报文,设置SYN=1(同步标志位),并 阅读全文
posted @ 2025-03-15 23:41 Pikature 阅读(3) 评论(0) 推荐(0) 编辑
点击右上角即可分享
微信分享提示