摘要: Xilinx Vivado 提供了上板后的FPGA逻辑分析,信号视图显示等功能。 需要注意,上板后查看信号需要重新综合,并且需要耗费一定的片上布局布线资源。 1. 添加debug信号 可以对模块端口或者wire 变量进行debug信号提取,只要在verilog代码前面添加:(* MARK_DEBUG 阅读全文
posted @ 2019-12-06 20:39 熊猫滚滚 阅读(2044) 评论(0) 推荐(0) 编辑