2009年7月16日

(筆記) 如何讓所有project共用Verilog PLI的dll? (SOC) (Verilog PLI)

摘要: 為了彌補Verilog system task的不足,有時我們會自己用C語言配合Veriog PLI開發自己的system task,一般我們會將dll放在執行NC-Verilog的batch file目錄,但這種方式只能by project,其他project就用不到這個dll,要如何才能讓所有project都能使用這個dll呢? 阅读全文

posted @ 2009-07-16 01:19 真 OO无双 阅读(2207) 评论(2) 推荐(0) 编辑

(筆記) 如何使用$skew? (SOC) (Verilog)

摘要: $skew是Verilog所提供的專門用來做timing check的system task,可以檢查兩個信號間最大的延遲,若兩個信號間的skew大於我們所指定的需求,將產生violation warning。 阅读全文

posted @ 2009-07-16 00:29 真 OO无双 阅读(4476) 评论(0) 推荐(0) 编辑

导航