2008年7月4日

(原創) 如何以2進位顯示8位數的七段顯示器? (SOC) (Verilog) (DE2)

摘要: 七段顯示器在DE2可當成Verilog的console,做為2進位的輸出結果。 阅读全文

posted @ 2008-07-04 16:40 真 OO无双 阅读(5748) 评论(4) 推荐(0) 编辑

导航