随笔分类 -  DE2

上一页 1 2 3 下一页

(原創) DE2_NIOS_Lite 1.0 (SOC) (Nios II) (SOPC Builder) (DE2)
摘要:DE2 CD所提供的Reference Design無疑是最佳的Nios II範本,他將DE2所有的周邊都驅動了,不過對於初學者來說,一些高級的周邊(如TV Decoder、Audio CODEC等...)暫時用不到,還增加了複雜度,DE2_NIOS_Lite僅留下最常用的周邊與IP,方便初學者學習與debug。 阅读全文

posted @ 2008-08-08 08:54 真 OO无双 阅读(3967) 评论(5) 推荐(0) 编辑

(原創) 如何解決No CFI table found at address的錯誤訊息? (SOC) (Nios II) (DE2)
摘要:很多人在使用DE2的flash時,會出現No CFI table found at address的錯誤訊息,本文提出解決方法。 阅读全文

posted @ 2008-08-05 16:08 真 OO无双 阅读(6575) 评论(10) 推荐(1) 编辑

(原創) DE2有任何疑難雜症,歡迎統一在此帖留言,我可以代為發問 (SOC) (DE2)
摘要:我八月初要去友晶科技上課,有機會接觸到友晶工程師,若有任何DE2疑難雜症,我可以幫各位代為發問。 阅读全文

posted @ 2008-08-05 04:14 真 OO无双 阅读(7455) 评论(184) 推荐(2) 编辑

(原創) 深入探討Altera的Checksum Master範例 (SOC) (SOPC Builder) (Nios II) (DE2)
摘要:checksum master是Quartus II Handbook Vol.4中示範Nios II與Avalon Bus應用的範例,是一個學習Nios II軟硬體設計很好的範本。 阅读全文

posted @ 2008-07-30 10:14 真 OO无双 阅读(10362) 评论(64) 推荐(1) 编辑

(原創) 如何解決DE2_LCM_CCD上下顛倒左右相反與無法設定曝光值的問題? (SOC) (DE2)
摘要:DE2_LCM_CCD是友晶科技為DE2和其130萬像素CMOS與彩色LCD所寫的範例,但官方的範例會造成上下顛倒左右相反與曝光值無法設定的問題,本文提出解決方式。 阅读全文

posted @ 2008-07-25 10:09 真 OO无双 阅读(5075) 评论(24) 推荐(0) 编辑

(原創) 如何以10進位顯示8位數的七段顯示器? (SOC) (Verilog) (DE2)
摘要:七段顯示器在DE2可當成Verilog的console,做為16進位的輸出結果。 阅读全文

posted @ 2008-07-20 10:47 真 OO无双 阅读(8721) 评论(7) 推荐(0) 编辑

(原創) 如何將CMOS彩色影像轉換成灰階影像? (SOC) (DE2)
摘要:在友晶科技的DE2_CCD範例中,CMOS所擷取的是彩色RGB影像,然後由VGA顯示出來,若我要的是灰階影像,該怎麼做呢? 阅读全文

posted @ 2008-07-14 22:16 真 OO无双 阅读(8490) 评论(8) 推荐(2) 编辑

(筆記) 如何安裝Altera USB Blaster? (SOC) (Quartus II) (DE2)
摘要:當將DE2的USB排線插入PC後,重新開機會找到新的硬體,這就是USB Blaster,是PC與DE2溝通的橋梁。 阅读全文

posted @ 2008-07-06 16:45 真 OO无双 阅读(7978) 评论(2) 推荐(0) 编辑

(原創) 如何以2進位顯示8位數的七段顯示器? (SOC) (Verilog) (DE2)
摘要:七段顯示器在DE2可當成Verilog的console,做為2進位的輸出結果。 阅读全文

posted @ 2008-07-04 16:40 真 OO无双 阅读(5743) 评论(4) 推荐(0) 编辑

(原創) 如何在μClinux開發第一支Hello World程式? (IC Design) (DE2) (Nios II) (OS) (Linux) (μClinux) (C/C++) (gcc)
摘要:μClinux順利的在DE2上執行是不夠的,重要的是如何將我們寫的程式放到μClinux上執行。 阅读全文

posted @ 2008-06-09 11:28 真 OO无双 阅读(7870) 评论(20) 推荐(0) 编辑

(原創) 如何在DE2上安裝μClinux作業系統? (IC Design) (DE2) (Nios II) (OS) (Linux) (CentOS) (μClinux)
摘要:若要在DE2上使用作業系統,除了Nios II EDS內建支援的μC/OS-II外,μClinux也能在DE2上跑。 阅读全文

posted @ 2008-06-08 11:51 真 OO无双 阅读(22408) 评论(120) 推荐(1) 编辑

(原創) 如何解決Nios II的Timestamp不match的錯誤訊息? (IC Design) (DE2) (Nios II) (Quartus II) (SOPC Builder)
摘要:有時候Nios II會出現Timestamp不match的錯誤,該如何解決呢? 阅读全文

posted @ 2008-05-29 23:46 真 OO无双 阅读(4550) 评论(6) 推荐(1) 编辑

(原創) 如何將CMOS所擷取的影像傳到PC端? (SOC) (DE2) (TRDB-DC2)
摘要:DE2提供了Control Panel與Image Converter,可以將CMOS所擷取的影像傳到PC端。 阅读全文

posted @ 2008-05-03 15:28 真 OO无双 阅读(9283) 评论(76) 推荐(0) 编辑

(原創) 如何在Nios II使用16x2字元液晶顯示器? (IC Design) (DE2) (Nios II)
摘要:在DE2上的七段顯示器,只能顯示數字,若想顯示英文字,就只能靠16x2字元液晶顯示器,本文討論如何在Nios II用軟體C語言控制。 阅读全文

posted @ 2008-04-28 21:54 真 OO无双 阅读(5543) 评论(23) 推荐(1) 编辑

(原創) 如何在Nios II顯示8位數的七段顯示器? (IC Design) (DE2) (Nios II)
摘要:之前討論用硬體Verilog顯示8位數的七段顯示器,本文要討論在Nios II用軟體C語言控制8位數的七段顯示器。 阅读全文

posted @ 2008-04-27 01:39 真 OO无双 阅读(5971) 评论(16) 推荐(0) 编辑

(原創) 如何在DE2用硬體存取SDRAM(4 port)? (IC Design) (DE2)
摘要:之前曾經討論如何在DE2用硬體的Verilog存取SDRAM,當時所使用的SDRAM controller是2 port的,一個read一個write,對大部分情況而言是夠用的,這次要討論的是4 port的SDRAM controller, 2 read, 2 write。 阅读全文

posted @ 2008-04-25 18:30 真 OO无双 阅读(10027) 评论(55) 推荐(3) 编辑

(原創) 如何使用Nios II C2H compiler? (IC Design) (DE2) (Nios II) (Quartus II) (SOPC Builder) (C/C++) (C2H)
摘要:由於嵌入式的CPU運算速度較差,一些演算法在軟體可能無法達到real time需求,這時會考慮用Verilog或VHDL來實現硬體加速。為了減少time to market,Altera提供了C2H compiler,讓你直接將用軟體C語言的程式碼變成硬體。 阅读全文

posted @ 2008-04-22 20:52 真 OO无双 阅读(7677) 评论(21) 推荐(1) 编辑

(原創) 如何在DE2用硬體存取SDRAM? (IC Design) (DE2)
摘要:之前討論過在DE2用軟體的C語言存取SDRAM,本文討論用硬體的Verilog存取SDRAM。 阅读全文

posted @ 2008-04-17 10:01 真 OO无双 阅读(10821) 评论(55) 推荐(1) 编辑

(原創) 如何以16進位顯示8位數的七段顯示器? (SOC) (Verilog) (DE2)
摘要:七段顯示器在DE2可當成Verilog的console,做為16進位的輸出結果。 阅读全文

posted @ 2008-04-16 01:08 真 OO无双 阅读(8792) 评论(8) 推荐(0) 编辑

(原創) 如何在DE2用軟體存取SDRAM? (IC Design) (DE2) (Nios II)
摘要:DE2可用的記憶體有Onchip Memory、SRAM、SDRAM和Flash,之前在Nios II討論過Onchip Memory和SRAM了,這次我們來看看SDRAM。 阅读全文

posted @ 2008-04-06 00:12 真 OO无双 阅读(12077) 评论(26) 推荐(2) 编辑

上一页 1 2 3 下一页

导航