随笔分类 -  Nios II

上一页 1 2 3 4

(原創) 如何在DE2將CCD影像顯示在彩色LCD上? (Nios II軟體篇 + onchip memory) (IC Design) (DE2) (Nios II) (SOPC Builder) (TRDB-LCM)
摘要:前一篇討論了使用Verilog純硬體的方式實作簡易的數位相機,為了實現SOC和軟硬體整合,本文我們將加上Nios II CPU,透過軟體的方式去控制CCD和彩色LCD。 阅读全文

posted @ 2008-01-29 00:42 真 OO无双 阅读(13651) 评论(69) 推荐(0) 编辑

(原創) 如何有效減少Nios II EDS所編譯程式碼大小? (IC Design) (Nios II)
摘要:由於體積和成本因素,嵌入式系統的記憶體都很小,所以系統開發人員都很在意編譯出的程式碼大小,本文討論減少Nios II EDS編譯程式碼大小的方法。 阅读全文

posted @ 2008-01-20 15:05 真 OO无双 阅读(3563) 评论(3) 推荐(1) 编辑

(原創) 為什麼Nios II reset後,軟體程式就不會動了? (IC Design) (DE2) (Nios II) (SOPC Builder)
摘要:Nios II的軟體原本一切正常,但經reset過後,程式程式就不會動了,該如何解決呢? 阅读全文

posted @ 2008-01-19 15:36 真 OO无双 阅读(2323) 评论(3) 推荐(0) 编辑

(原創) 程式生涯最艱苦的戰役:開發DE2上CCD驅動程式 (IC Design) (DE2) (Nios II) (日記)
摘要:十多年的編程生涯,還沒遇過解不了的問題,只是時間長短而已,但這次開發DE2上CCD驅動程式,足足花了三個多月,若要問我這學期在幹嘛?全部的時間都花在寫這個程式了,這是我程式生涯最艱苦的一戰。 阅读全文

posted @ 2008-01-18 00:34 真 OO无双 阅读(7742) 评论(23) 推荐(0) 编辑

(原創) 如何自己用SOPC Builder建立一個能在DE2上跑μC/OS-II的Nios II系統? (IC Design) (DE2) (Quartus II) (Nios II) (SOPC Builder) (μC/OS-II)
摘要:很多人跑DE2本身的範例,都可以上μC/OS-II這個作業系統,但只要自己用SOPC Builder建立的Nios II系統,就無法上μC/OS-II,本文示範如何用SOPC Builder手動打造一個在DE2上能跑uC/OS-II的Nios II系統。 阅读全文

posted @ 2008-01-10 17:13 真 OO无双 阅读(20350) 评论(107) 推荐(1) 编辑

(原創) 如何在DE2執行Checksum Master範例? (IC Design) (DE2) (Quartus II) (Nios II) (SOPC Builder)
摘要:Checksum Master為Quartus II 7.2 Handbook Vol:4中,示範使用Component Editor將user logic包成component後,並撰寫software driver的範例,但手冊中的程式和步驟都無法在DE2上順利執行,本文提出解決的方法。 阅读全文

posted @ 2008-01-04 20:54 真 OO无双 阅读(9093) 评论(17) 推荐(2) 编辑

(原創) 如何破解Quartus II 7.1? (IC Design) (Quartus II) (Nios II)
摘要:本文介紹破解Quartus II 7.1詳細步驟。 阅读全文

posted @ 2007-12-18 15:44 真 OO无双 阅读(7956) 评论(1) 推荐(0) 编辑

(原創) 如何破解Quartus II 6.0? (IC Design) (Quartus II) (Nios II)
摘要:本文介紹破解Quartus II 6.0詳細步驟。 阅读全文

posted @ 2007-12-18 15:22 真 OO无双 阅读(23917) 评论(6) 推荐(1) 编辑

(轉貼) 淺談嵌入式系統 (IC Design) (SOC)
摘要:原作者將SOC和NIOS、ARM架構用很淺顯的文字解釋,值得一讀... 阅读全文

posted @ 2007-12-07 01:57 真 OO无双 阅读(9321) 评论(2) 推荐(0) 编辑

(原創) 如何解決Nios II的『Leaving target processor paused』的錯誤訊息? (IC Design) (Quartus II) (SOPC Builder) (Nios II)
摘要:『Leaving target processor paused』是Nios II初學者常遇到的錯誤訊息,本文提出解決方法。 阅读全文

posted @ 2007-12-01 18:59 真 OO无双 阅读(10064) 评论(18) 推荐(2) 编辑

(原創) 如何使用硬體 + μC/OS-II 的方式『播放SD卡內wav檔音樂』? (IC Design) (DE2) (Quartus II) (Nios II) (μC/OS-II)
摘要:之前已經討論過使用硬體的方式『播放SD卡內wav檔音樂』,本文將討論硬體 + μC/OS-II這種軟硬體合作的方式播放SD卡內wav檔音樂。 阅读全文

posted @ 2007-11-01 13:52 真 OO无双 阅读(5207) 评论(11) 推荐(1) 编辑

(原創) 如何使用硬體的方式『播放SD卡內wav檔音樂』? (DE2) (Quartus II) (Nios II)
摘要:DE2原廠光碟所附的『播放SD卡內wav檔音樂』範例程式並無法在Quartus II 6.1 + Nios II 6.1正常執行,本文提出解決的方式。 阅读全文

posted @ 2007-10-30 16:56 真 OO无双 阅读(5413) 评论(26) 推荐(0) 编辑

(原創) 如何成功執行『Using μC/OS-II RTOS with the Nios II Processor Tutorial』? (IC Design) (Quartus II) (Nios II) (μC/OS-II)
摘要:這是一份Altera官方提供的μC/OS-II tutorial,但我測了兩個星期,都無法成功,以下是我的研究心得。 阅读全文

posted @ 2007-09-26 05:55 真 OO无双 阅读(4402) 评论(6) 推荐(0) 编辑

(原創) 如何破解Quartus II 6.1? (初級) (IC Design) (Quartus II) (Nios II)
摘要:本文介紹破解Quartus II 6.1詳細步驟。 阅读全文

posted @ 2007-09-21 22:35 真 OO无双 阅读(3121) 评论(9) 推荐(0) 编辑

(原創) C语言果然是不会死的语言 (C/C++) (C) (IC Design) (DE2) (Nios II)
摘要:今天作软硬件共同设计的Lab,将CPU设计好后,烧进Altera的Cyclone II芯片中,用以下简单的C语言程序测试CPU是否设计成功。 阅读全文

posted @ 2006-11-23 15:11 真 OO无双 阅读(2540) 评论(6) 推荐(0) 编辑

上一页 1 2 3 4

导航