(筆記) 如何安裝NC-Verilog? (SOC) (NC-Verilog)

Abstract
本文記錄Cadence的NC-Verilog簡易安裝步驟。

Introduction
Step 1:
增加User Variable

Path:C:\Program Files\Cadence Design Systems\IUS\tools\bin;C:\Program Files\Cadence Design Systems\IUS\tools\lib;

Step 2:
增加System Variable

CDS_LIC_FILE : 5280@License_Server_Hostname

Step 3:
執行setup.exe,next..next..next

Step 4:
在SimConfig,選擇NC-Sim

Step 5:
Restart Computer

Step 6:
複製nolic.exe到c:\Program Files\Cadence Design Systems\IUS\tools\bin\, 執行nolic.exe

posted on 2009-03-17 22:54  真 OO无双  阅读(6665)  评论(4编辑  收藏  举报

导航