摘要: FPGA&数字IC笔面试常考系列 题目:简述ASIC设计流程,并列举出各部分用到的工具。 ASIC开发基本流程 芯片架构,考虑芯片定义、工艺、封装 RTL设计,使用Verilog、System Verilog、VHDL进行描述 功能仿真,理想情况下的仿真 验证,UVM验证方法学、FPGA原型验证 综 阅读全文
posted @ 2018-10-10 10:52 NingHeChuan 阅读(6479) 评论(1) 推荐(1) 编辑