蜗牛

一起交流,共同进步
  博客园  :: 首页  :: 新随笔  :: 联系 :: 订阅 订阅  :: 管理

2009年5月7日

摘要: 硬件电路图如下:[代码] 阅读全文

posted @ 2009-05-07 18:08 路漫漫... 阅读(20188) 评论(19) 推荐(0) 编辑

摘要: 这几天一直在调试外部存储器,也积累了一些经验和教训,养成良好的习惯,将这些记录下来。我用的FLASH是AM29LV160DB-90EC,主要管教功能:A:地址线DQ:数据线DQ15/A-1:如果是字(16位)读写模式,该位作为数据最高位,如果是字节(8位)读写模式,该位作为地址最低位,在我的电路中采用字节读写模式,所以作为地址最低位。CE:片选信号OE:输出使能信号RESET:复位信号BYTE:选... 阅读全文

posted @ 2009-05-07 15:48 路漫漫... 阅读(1553) 评论(1) 推荐(1) 编辑

摘要: 最近在FPGA的平台上搭建Nios II系统时遇到很多问题,FPGA为EP1C6Q240C8,好在问题最后都解决了。 1.使用外部SDRAM 板子上的SDRAM的型号为HY57V641620HG-TH,64Mbit,时钟输入一定要加上时钟相位偏移,否则效验出错,我加上62deg或100deg都可以正常运行。最好使用PLL的E0作为SDRAM的时钟输入。 2.nios经济型能正常运行,但nios 标... 阅读全文

posted @ 2009-05-07 15:44 路漫漫... 阅读(2501) 评论(5) 推荐(1) 编辑

摘要: [代码]注意,在添加按键PIO时一定要使能中断!研究过一段时间的Nios II,感觉还是很有收获,深刻体会到了SOPC技术的灵活性,但目前速度方面是其最大的缺点,相信Nios II会慢慢流行起来.... 阅读全文

posted @ 2009-05-07 15:32 路漫漫... 阅读(2765) 评论(0) 推荐(1) 编辑