2015年12月15日

Verilog读取HEX文件初始化ROM

摘要: ROM.v代码这个模块设计的关键是在复位信号中执行初始化代码,读取指定位置的HEX文件中的数据初始化rom,然后在其他时钟沿时刻输出地址所指的数据。//read hex file to initial ROM or RAMmodule ROM( input clk, input rst_n, input[15:0] addr, output reg[7:0] q );... 阅读全文

posted @ 2015-12-15 23:12 CoderTheWorld 阅读(6652) 评论(0) 推荐(1) 编辑

Quartus和Modelsim仿真ROM模块

摘要: 新建一个工程选择工程保存路径,为工程取一个名字Page 2 of 5 直接点击nextPage 4 of 5 直接点击next下面为工程添加文件需要注意模块名和模块所在文件的文件名要一致,下面的代码保存在Wave.v这个文件中module Wave( input i_clk, input i_rst_n, output reg[7:0] led); paramet... 阅读全文

posted @ 2015-12-15 22:34 CoderTheWorld 阅读(8439) 评论(0) 推荐(1) 编辑

导航