.Error (10200): Verilog HDL Conditional Statement error at : cannot match operand(s) in the condition to the corresponding edges in the e

always 记得初始化使用数据 加上

if(!rst_n)

;

不要直接接if(dsp_xint1.....)

posted @ 2013-03-11 16:07  navieli  阅读(5754)  评论(0编辑  收藏  举报